stm32

2024/4/28 6:51:47

STM32的C语言与汇编语言混合编程

目录一、项目的创建1.新建工程2.添加main.c和Func.s3.编写代码二、C语言调用汇编函数1.无参数调用2.有参数调用三、汇编函数调用C语言四、总结参考资料一、项目的创建 1.新建工程 新建一个项目,过程与ARM汇编程序调试:基于MDK创建纯汇编语言的STM32工程…

stm32cubeMX使用HAL库点亮LED流水灯

目录一、实验工具二、STM32CubeMX生成代码使用HAL库点亮流水灯1.安装STM32CubeMX2.安装HAL库3.新建项目4.keil仿真调试(1)打开.uvprojx文件(或者在上一步选择open project)(2)将下面代码放入主函数中&#…

STM32串口DMA方式连续发送数据

目录一、DMA工作原理二、新建项目三、编写代码和烧录程序1.编写代码2.烧录程序四、总结参考资料一、DMA工作原理 DMA用来提供在外设和存储器之间或者存储器和存储器之间的高速数据传输。无须CPU的干预,通过DMA数据可以快速地移动。这就节省了CPU的资源来做其他操作…

STM32通过I2C接口采集温湿度

目录一、I2C总线协议1. I2C总线简介1.1 物理接口1.2 通讯特征1.3 I2C总线状态2. I2C总线通信协议2.1 起始位和结束位2.2 数据格式与应答2.3 数据传输通讯3.硬件I2C和软件I2C区别二、温湿度采集代码编写1. 了解AHT20芯片的相关信息2. 具体代码添加过程3. 主要代码三、总结参考资…

0.96寸OLED+STM32f103实现滚动显示长字符

目录一、了解OLED屏的滚屏命令1.水平左右移2.垂直和水平滚动二、对汉字进行取模1.使用PCtoLCD2002完美版对汉字取模2.将汉字的16进制表示复制到代码中三、修改示例代码并烧写程序1.修改代码2.烧写代码四、总结参考资料接上一篇博客:基于STM32F103的OLED显示 一、了解…

RT-thread Nano移植

目录一、移植准备二、打开STM32CubeMx创建项目三、编写代码四、结果五、总结参考一、移植准备 STM32CubeMx 添加RT-Thread操作系统组件: 首先要获取 RT-Thread NANO软件包:https://www.rt-thread.org/download/cube/RealThread.RT-Thread.pdsc 二、打…

用PROTUES来演示80C51点亮一个LED灯

如果你的手边现在没有单片机硬件,而你又想学习或者演示一下单片机的知识的话,那就可以用PROTUES来进行演示。 先用PROTUES搭建一个这样的单片机电路。 再用KEIL编写一段C程序 最后看一下演示的结果。

UART、RS232、RS485、IIC、SPI总线

总线UARTRS232RS485IICSPIUART UART(Universal Asynchronous Receiver Transmitter) 通用异步收发器,是一种全双工的、异步串行数据总线。数据位一般为5-8位(太长会因为时钟频率的缘故,产生误差)。 一般含串口的芯片集成有 UART …

STM32中文参考手册2.2存储器组织中提到“小端格式”

大端格式、小端格式 大端格式: 在这种格式中,字数据的高字节存储在低地址中,而字数据的低字节则存放在高地址中,如图2.1所示: 图2.1 小端格式: 与大端存储格式相反,在小端存储格式中&#…

STM32——SPI串口外设接口通讯协议

1、什么是SPI? SPI是串行外设接口(Serial Peripheral Interface)的缩写。是 Motorola 公司推出的一 种同步串行接口技术,是一种高速的,全双工,同步的通信总线。 2、SPI优点 支持全双工通信 通信简单 数据传输速率块 3、缺点 没…

error in include chain(stm32f10x.h)

用keil5,在cm3_core.h添加#include "stm32f10x.h"后,这里报错:error in include chain(stm32f10x.h)。删除后就恢复正常了。 注:这里的core_cm3.h头文件的编写有问题的。应该是 #ifndef __CORE_CM3_H #define __CORE_CM…

keil uv5 虚拟串口设置调试

MODE COM3 9600,0,8,1 ASSIGN COM3 S1OUT 新建文本文档输入上面语句。出口设置根据自己实际修改。 我这个设置的虚拟串口是2和3 然后保存后缀文件名是ini。放在你的项目工程文件下。 打开keil的设置,打开到下面的设置,然后设备成一样的 记住初始化文件…

stm32f103 定时器部分详解

https://blog.csdn.net/private_void_main/article/details/81491015 转载 添加链接描述

stm32 keil中设置程序在flash还是在sram跑

概念理解: FLASH存储器又成为闪存,它与EEPROM都是掉电后数据不丢失的存储器,但是FLASH得存储容量都普遍的大于EEPROM,,在存储控制上,最主要的区别是FLASH芯片只能一大片一大片地擦除,而EEPROM可…

QSPI 几种模式理解

NOR FLASH 和NAND FLASH 区别: Nor Flash :写入和擦除的速度低;结构复杂,成本高;存储容量较小;一般用于存储Bootloader以及操作系统或者程序代码,可以在芯片内部直接运行代码。 NAND Flash &am…

keil5制作flm文件【插件】

模板文件路径:在你安装mdk软件的arm/flash目录下 像我这里是: 实在没找到的可以这里下载:https://download.csdn.net/download/weixin_43673603/75537240 打开 模板工程之后,文件主要是包含两个:FlashPrg.c 和 Flash…

一篇文章让你彻底了解AT89S51单片的40个引脚

单片机引脚简介看图识物引脚简介中央处理器CPU(运算器控制器)8位ALE功能*EA并行IO口P0 (P0.7~P0.0)8位并行IO口P1 (P1.7~P1.0)8位并行IO口P2 (P2.7~P2.0)8位并行IO口P3(P…

基于stm32移植uC/OS-III以及使用Keil仿真和逻辑分析仪抓取波形

文章目录一. 认识uC/OS-III二. 将uCOSIII源码移植到STM32F103C8T61. 使用CubeMX建立STM32F103C8T6HAL库2. 准备工作(1)获取uC/OS-III源码(2)移植准备3. 开始移植(1)将uCOS文件添加到项目中(2&am…

基于CLion实现stm32F103点亮LED

文章目录一. 安装环境1. 下载安装Clion2. 安装arm-none-eabi-gcc3. 安装MinGW4. 安装OpenOCD5. 添加系统环境变量二. 配置CLion1. 新建一个工程2. 配置Embedded Development3. 配置 toolchains4. 配置Cmake三. 用CLion实现点亮 LED四. 在CLion点灯五. 烧录运行1. 烧录程序2. 运…

使用cubemx工具的STM32对外部flash(W25Q64)的简单编程

SPI SPI简介 SPI通信原理 SPI是串行外设接口(Serial Peripheral Interface)的缩写,是一种高速的,全双工,同步的通信总线,并且在芯片的管脚上只占用四根线,节约了芯片的管脚,同时为…

嵌入式实现流水灯

led.h文件代码如下: #ifndef __LED_H #define __LED_H #include "stm32f10x.h"void LED_Config(void);void LED_On_all(void);void LED_Off_all(void);void LED_On(uint8_t led);void LED_Off(uint8_t led);void Delay(__IO uint32_t nCount);#endif /*_…

STM 32汇编语言的学习

一、STM32的三种boot模式 1.1 boot简介: 所谓启动,一般来说就是指我们下好程序后,重启芯片时,SYSCLK的第4个上升沿,BOOT引脚的值将被锁存。用户可以通过设置BOOT1和BOOT0引脚的状态,来选择在复位后的启动…

STM32的Hal库对于定时器TIM使用细则1

在使用hal库配置定时器步骤一般分为 1.配置分频数PSC,决定时基快慢。 2.配置装载值ARR,决定要计数多少个时基才到定时时间。 然后启动定时器开始工作,这样定时器每次从0开始计到ARR就认为定时器到时,触发中断通知CPU调用中断服务函…

STM32 SPI读取MLX90363

MLX90363是一款三轴磁编码器,采用SPI总线与主控CPU通讯传输数据,通讯协议规定每条报文的最后一个字节为CRC校验字节。 参考melex官方的教程用STM32通过SPI方式完成MLX90363的驱动,采用全双工方式通讯,发现发送完读取角度报文后&a…

cubemx stm32 esp8266传感器 wifi模块 获取网络时间驱动代码

esp8266 这就不介绍了吧,我主要做驱动的 cubemx配置 开个串口,并打开对应的中断 代码 esp8266.c #include "esp8266.h"#include "delay.h" #include "string.h" #include "O_redirect.h" #include &quo…

Keil和STLINK调试记录

在调试的时候发现,正常下载完程序后,程序能够运行,但是使用STLINK调试的DEBUG在线仿真时候出现一直卡在 0x1FFFF3E0 0549 LSLS r1,r1,#21] ; 0x1FFFF020, 也就是说代码一直进不了main函数,后来发现原因是&a…

stm32蜂鸣器实验

硬件连接: 提示:不能通过IO口直接驱动大功率器件 实验步骤: 使能IO口时钟。调用RCC_APB2PeriphColckCmd();初始化IO口模式。调用函数BEEP_Init();操作IO口,输出高低电平。 代码: beep.h: #ifndef __BEEP_H #defin…

Systick滴答定时器讲解

Systick定时器基础知识讲解: Systick定时器,是一个简单的定时器,对于CM3,CM4内核芯片,都有- Systick定时器。Systick定时器常用来做延时,或者实时系统的心跳时钟。这样可以节省MCU资源,不用浪费一个定时器。比如UCOS中,分时复用,需要一个最小…

常用的串口相关寄存器介绍

常用的串口相关寄存器: USART_SR状态寄存器: FlagStatus USART_GetFlagStatus(USART_TypeDef* USARTx, uint16_t USART_FLAG);COPY USART_DR数据寄存器: void USART_SendData(USART_TypeDef* USARTx, uint16_t Data); uint16_t USART_Rec…

armneon简介

armneon是SIMD的架构,也就是single instruction multi data,即单指令多数据,一条指令可以同时处理多条数据 armneon有好几个寄存器,这些寄存器和FPU(float point)共用,可以看作是相应的64bit或者128bit,每…

基于3线spi通信的oled(cubemx图形化编程软件)

简介 spi通信原理 SPI是串行外设接口(Serial Peripheral Interface)的缩写,是一种高速的,全双工,同步的通信总线,并且在芯片的管脚上只占用四根线,节约了芯片的管脚,同时为PCB的布局…

STM32 库函数与标准库函数编程差异

文章目录HAL库函数HAL 库简介HAL 库串口通信例程标准库函数标准库简介标准库串口通信例程HAL 库和标准库实例比较HAL 库与标准库代码不同之处HAL库函数 HAL 库简介 HAL是Hardware Abstraction Layer的缩写,中文名称是:硬件抽象层,HAL库工程…

STM32基于SPI的显示(OLED)

文章目录前言OLED 屏幕实验目标代码分析1代码分析2主函数实验结果总结前言 本文使用SPI 通信的OLED屏幕,芯片使用的是STM32F103ZET6。OLED模块整体来说比较简单,就是加字模,调函数的过程。初学者可以好好看看里面包含的函数,还是…

STM32三种BOOT模式的差异

文章目录前言三种BOOT模式主闪存存储器系统存储器内置SRAM烧录程序验证主要代码主闪存存储器烧录验证系统存储器烧录验证内置SRAM烧录验证前言 本篇文章分析了3种BOOT模式下不同的启动区域。以及三种启动方式的区别。 芯片:正点原子STM32F103ZET6 环境:…

armneon Intrinsics记录

vld3q_f16将float16的数组,依次导入到3个寄存器,想RGBRGBRGBRGBRGB......的数据,就是R值一个寄存器,G值一个寄存器,B值一个寄存器,每个寄存器放8个,占用128bit,返回类型为float16x8x…

编写你的第一个汇编程序

文章目录前言第一个汇编程序新建工程配置芯片编辑汇编程序完成程序烧录LED闪烁总结前言 本篇文章能帮助一个汇编的新手小白编写第一个汇编程序,本篇文章一步一步教你完成第一个汇编程序,并给出了汇编代码。 第一个汇编程序 新建工程 新开篇 DingDong&a…

FreeRTOS笔记—第一章 FreeRTOS概述

1.1 认识FreeRTOS 1.1.1 什么是操作系统 操作系统(Operating System,简称OS)是管理计算机硬件与软件资源的计算机程序。简单说就是一种管理计算机资源的软件。目的是为了高效、合理、简单、安全的利用有限的计算机硬件与软件资源。 最初的电…

基于STM32F103的PWM波形及DAC波形输出

文章目录前言PWM简介输出PWM波形烧录 PWM 例程在Keil中查看PWM波形示波器中观察波形DAC简介输出DAC波形输出DAC正弦波输出DAC音频总结前言 本篇文章简单介绍了PWM波形输出的基本原理和DAC波形输出的基本原理,在Keil5中如何观察输出波形,以及在示波器中观…

c6t6错选c8t6编译的文件下载,使用ST-Link下载程序出现Error:Flash Download Failed-“Cortex-M3“

多半是芯片错了,flash不匹配,我是c6t6,例程是c8t6 现象 在kail上,显示stlink连接正常,但是Download的时候显示Error:Flash Download Failed-“Cortex-M3“。   开始百度出来还以为是flash被锁了,下了个st…

platformio使用记录

上传速度慢可以修改platformio.ini文件: 添加一行:upload_speed 921600 串口监控波特率修改:monitor_speed115200 如果电脑有多个串口,程序下载口可选择:upload_port COM3 有时候出现Serial 3 is not declared st…

STM32面试知识点总结分析

一、STM32F1和F4的区别? 内核不同:F1是Cortex-M3内核,F4是Cortex-M4内核; 主频不同:F1主频72MHz,F4主频168MHz; 浮点运算:F1无浮点运算单位,F4有; 功能性…

FreeRTOS移植—基于STM32

准备 在移植之前,我们首先要获取到FreeRTOS的官方的源码包。这里我们提供两个下载链接: 一个是官网:http://www.freertos.org/ 另外一个是代码托管网站:https://sourceforge.net/projects/freertos/files/FreeRTOS/ 这里我们演示如何在代码…

基于STM32设计的炉温温度检测仪

炉温检测在现代工业生产中十分重要,因为炉温过高或过低都会对产品质量产生影响,甚至影响工厂的正常运作。因此,设计一款能够精准测量炉温并显示结果的检测仪器具有很大的实用价值。 本项目采用了STM32F103C8T6作为主控芯片,该芯片…

【STM32小项目】-- 基于STM32智能物联水控系统研究

文章目录基于STM32智能物联水控系统研究资料蓝牙研究温度程序智能水控系统基于STM32智能物联水控系统研究 资料 资源链接!!!https://download.csdn.net/download/wangshuqian1314/85214960 蓝牙研究 http://app.gzjkw.net/login/ 可以通过…

通过云端自动生成openmv的神经网络模型,进行目标检测

通过云端自动生成openmv的神经网络模型,进行目标检测OpenMV训练神经网络模型(目标识别)一、准备材料:二、软件下载三、准备数据集:四、数据集的上传与训练OpenMV训练神经网络模型(目标识别) 一…

基于STM32的空气质量检测LCD1602显示报警仿真设计(仿真+程序+讲解)

本设计 基于STM32的空气质量检测报警仿真设计(仿真程序讲解) 1.主要功能2.仿真3. 程序4. 资料清单&下载链接 基于STM32的空气质量检测报警仿真设计(仿真程序讲解) 仿真图proteus 8.9 程序编译器:keil 5 编程语言:C语言 设…

cubemx stm32 bh1750模块 检测光强度 驱动代码

CubeMX配置 打开i2c打开与电脑通信的串口 硬件连接 使用的是野火的指南者,型号是stm32f103ve ADDR引脚接地 代码 bh1750.c #include "bh1750.h" #include "i2c.h"/*i2c句柄*/ #define bh1750_i2c hi2c1/*内部函数声明区*/ static uint8_t…

通用定时器PWM输出概述

STM32 PWM工作过程(通道1为例): 改变 CCRx 的值,就可以改变 PWM 输出的占空比,改变 ARR 的值以及定时器的频率,就可以改变 PWM 输出的频率(即周期) PWM模式1 & PWM模式2 有效电…

STM32的BOOT0和BOOT1配置

芯片启动时,SYSCLK的第4个上升沿,BOOT引脚的值将被锁存。用户设置BOOT0和BOOT1引脚状态,选择复位后的启动模式。 1、主闪存存储器 STM32内置Flash,用SWD/JTAG下载程序到这个Flash里,重启后直接从Flash里启动程序。 …

EXIT外部中断实验——EXTI

外部中断概述: STM32的每个IO都可以作为外部中断输入。STM32的中断控制器支持19个外部中断/事件请求: 线0~15:对应外部IO口的输入中断。 线16:连接到PVD输出。 线17:连接到RTC闹钟事件。 线18:连接到USB唤…

常见编程代码命名风格

附件5 常见标识符命名风格种类 常见 编程标识符命名风格 种类 类别 别称 命名法逻辑 特点 举例 优缺点 常见应用场合 帕斯卡 大驼峰 大小写混排,每个单词的首字母大写。 void GetKey(void);int TimeCount; 函数库和Jave平台下居多。 骆驼 小驼峰 大…

窗口看门狗实狗实验——WWDG

概述: 什么是窗口看门狗? 之所以称为窗口就是因为其喂狗时间是一个有上下限的范围内(窗口),你可以通过设定相关寄存器,设定其上限时间(下限固定)。喂狗的时间不能过早也不能过晚。 而独立看门…

将STM32 函数和常量存到Flash指定地址、 变量存到SRAM指定地址

MDK中定义 unsigned char ary[3] __attribute__((at(0x20000000))) {0, 1, 3}; //MDK中定义变量指定SRAM地址const unsigned char buf[2] __attribute__((at(0x08035800))) {9, 7}; //MDK中定义常量指定Flash地址void fun(void) __attribute__((at(0x08075800))); //MDK中定…

IIC通信原理

概述: I2C(IIC,Inter-Integrated Circuit),两线式串行总线,由PHILIPS公司开发用于连接微控制器及其外围设备。 它是由数据线SDA和时钟SCL构成的串行总线,可发送和接收数据。在CPU与被控IC之间、IC与IC之间进行双向传送,高速IIC总…

STM32开发基于SPI接口的OLED数据显示

STM32开发基于SPI接口的OLED数据显示一、 SPI简介二、 前期准备三、 0.96 inch 7线 OLED简介四、 工程编译以及实验结果4.1 任务要求4.2 实验代码4.3 OLED与STM32连线4.4 实验效果展示五、 总结摘要:进行对SPI串口的通信的学习,进而用STM32建立工程实现O…

STM32USART实验——串口通讯

STM32USART实验——串口通讯一、串口通讯二、USART串口通信实例三、效果演示四、参考资料一、串口通讯 为实现最迫切的需求,利用串口来帮助我们调试程序; 最常用的方法:全双工、异步通讯方式 通讯协议: 对于通讯协议&#xff0c…

窥探STM32的三种Boot模式

窥探STM32的三种Boot模式

STM32PWM与示波器实验

STM32PWM与示波器实验STM32输出PWM波形1、PWM(脉冲宽度调制)介绍2、STM32F1——PWM3、使用STM32F103输出PWM波4、输出展示STM32DAC——输出正弦波1、DAC简介2、DAC功能框图剖析3、正弦波表制作脚本4、引入工程文件5、使用示波器检验将一段数字音频歌曲数…

取字模软件的资源与链接

简介 取模又叫点阵取模,取模分为取字模和取图模(本质其实是一样的) 字模就是用0、1表示字符的字形,将字符放入n行*m列的矩形内,该矩形共有 (n*m) 个小方格,每个小方格用一位二进制表示,凡是点亮…

“Error: Encountered an improper argument”的解决方法

最近在使用MDK调试STM32控制器,当下载完成,需要使用ST-LINK进行程序仿真时。MDK偶尔会出现错误提示“Error: Encountered an improper argument”。大概意思是说“错误:遇到不正确的参数”。出现这种情况时,对话框关掉之后会再次出…

KEIL5创建新工程模板 以及文件简介概述

STM32中文参考手册 第三章 MDK5软件入门 1.介绍MDK5软件的使用 2.ST官方固件库介绍 3.介绍怎样建立一个基于STM32官方固件库的工程模板 固件库就是函数的集合,固件库的作用就是向下负责与寄存器直接打交道,向上提供用户函数调用的接口(API&am…

使用AD20报错的处理记录:Class Document Source Message Time Date No.Add Class Member 基于stm32的DDS信号源的设计.PcbDoc

在把原理图导入pcb的时候报了上述错误,解决办法:把之前的pcb文件删了,在该工程里新建一个pcb文件,再重新导入就好了。

STM32引脚及GPIO的概念

一、 引脚输入输出 在单片机(microcontroller)中,引脚(pin)是指连接到外部电路的可编程接口。每个引脚可以被配置为输入或输出。输入引脚用于接收外部信号或数据,而输出引脚用于发送信号或数据给外部设备。…

【STM32】STM32G系列使用CORDIC模块加速计算

1.前言 STM32G431系列产品内置了CORDIC运算单元,可以用来加速数学计算,如三角函数、取模、开方等。适合大量数据进行相同的运算操作。配合DMA可以大大节省CPU计算开销。 2.CubeMX配置 使用CORDIC模块无需配置参数,若采用DMA方式则只需配置…

ADC时钟与采样周期的作用

ADC转换就是输入模拟的信号量,单片机转换成数字量。读取数字量必须等转换完成后,完成一个通道的读取叫做采样周期。采样周期一般来说转换时间读取时间。而转换时间采样时间12.5个时钟周期。采样时间是你通过寄存器告诉STM32采样模拟量的时间,…

嵌入式软件架构设计(转载)

嵌入式软件架构设计实际该怎么做? 一 错误的示范 最近公司新招了一个做嵌入式软件开发的同事,该同事是从上海的某一个上市公司出来的,因为我们这边人手不够,因此把他安排了去负责一个新产品的研发,前期让他负责加速度…

驱动控制STM32LED灯的两秒,亮灭

控制LED灯的亮灭 head.h #ifndef __HEAD_H__ #define __HEAD_H__#define PHY_LED1_MODER 0X50006000 #define PHY_LED1_ODR 0X50006014 #define PHY_LED2_MODER 0X50007000 #define PHY_LED2_ODR 0X50007014 #define PHY_LED3_MODER 0X50006000 #define PHY_LED3_ODR 0X50006…

物联网面试必过要点

要是能熟记以下知识点,再加上自身的项目经验,过个面试,问题不大。 指针定义 一个指向指针的的指针,它指向的指针是指向一个整型数 int **a; 一个有10个指针的数组,该指针是指向一个整型数的 int *a[10]; 一个指向有10个…

stm32添加.c和.h文件问题

防止自己忘记stm32相关问题的解决方法,在这里写下记录 在工程的group中添加.c和.h文件 具体添加方法,这里已经写的很清楚 https://blog.csdn.net/dang_dang_/article/details/113944742 在实际操作过程中,添加.c文件成功之后,.h文…

STM32单片机报错error: #20: identifier “TIM2_IRQn“ is undefined

stm32单片机编译报错:error: #20: identifier “TIM2_IRQn” is undefined 出错的原因:选择单片机型号头文件不对 出错例字如下图: 编译报错: 解决此错误步骤: 1、选择工具栏中的魔法棒 2、选择Options窗口中的C/C…

STM32F1xx HAL库 中文详解 之 综合概述(一)

现阶段学习hal库,发现网上竟然没有对HAL库全面详细的解析,在学习的过程中把我所学到的记录下来,分享给大家,一起学习,一起进步!第一次写博文,有不足之处希望大家能在评论区指出。 HAL库驱动程序…

什么是ROM、RAM、DRAM、SRAM和FLASH的区别

什么是ROM、RAM、DRAM、SRAM和FLASH的区别 更详细的内容可以结合stm32学习之NOR flash和NAND flash区别,RAM 和ROM区别学习

STM32__04—PMW呼吸灯

一&#xff0c;OC比较输出比较 OC比较输出可以通过比较CNT与CCR的值从而控制输出的高低电平&#xff0c;如当CNT>CCR时输出高电平&#xff0c;CNT<CCR时输出低电平&#xff0c;这时我们可以通过控制CNT与CCR的值去输出不同的频率和占空比的PWM信号。 所以我们这里需要使…

关于正点原子stm32f10x除串口USART1外其他串口通信

首先&#xff0c;开发板的串口通信是通过USB进行的&#xff0c;所以开发板上串口1的发送端PA9和接收端PA10是通过跳线帽连接到RXD和TXD上的&#xff0c;如下图&#xff1b; 要用上其他的串口进行通信&#xff0c;就需要将其他串口的发送端与接收端与RXD和TXD通过杜邦线相连&am…

制作一个示波器

摘要:此示波器的主控是STC8A8K64S4A12,是在B站老刘示波器基础上的升级版,扩展了测量负电压的功能,更新了UI设计,屏幕升级为1.3寸OLED屏。 原理图 3D效果图

STM32单片机GPIO的8种工作模式

STM32单片机的GPIO有8组,分别为A、B、C、D、E,每组有0-15共16个。可以配置为8种模式: 1 浮空输入 GPIO_Mode_IN_FLOATING 外部通过IO口输入电平,传输到施密特触发器(此时施密特触发器为打开状态),直接进入输入数据寄存器,CPU通过读输入数据寄存器实现读取外部输入电平…

用 Arduino 步进电机驱动的机械木条时钟

本期介绍一个木制滑动时钟项目,纯手工制作。时钟的时间由四根木条上下滑动来显示,其核心由 Arduino 开发板和四个步进电机构成。其中,步进电机是由 Arduino 以及 CNC 步进电机扩展板来驱动,同时使用 Adafruit PCF8523 RTC 模块来控制时间。时钟背后的卡槽和齿轮都是 3D 打印…

无刷直流(BLDC)电机的原理及正确的使用方法

当工程师想利用电气、电子的机器在现实世界中做些什么时,他们会思考怎样才能将电信号变为“力”?将电信号转换为力的就是传动器,即电机。可以将电机视作“将电气转换为机械的力的元件”。 最基本的电机是 “DC电机(有刷电机)”。在磁场中放置线圈,通过流动的电流,线圈会…

自制无刷电机控制器,牛啊

很早之前就想做一款无刷电机控制器,忙于工作一直没有弄。最近有点时间画板,打样,焊接,调试,总算顺利的转起来。期间也遇到很多问题,上网查资料,自己量波形前前后后搞了差不多近一个月,(中间又出差一周)总算搞的差不多了,特意写个总结。 板子外观100*60mm 中等大小。…

基于STM32智能语音台灯的设计与实现

本项目设计的是一款基于STM32的智能语音台灯&#xff0c;主要利用了自动语音识别技术&#xff08;非特定人语音识别&#xff09;以及STM32F103C8T6主控单片机内的按键中断机制&#xff0c;通过语音和按键来控制定时器的参数影响PWM波的占空比来达到控制台灯亮度&#xff0c;并通…

使用cubemx工具的stm32用AT24C02实现简单密码(一点点面向对象的思想编程)

I2C I2C简介 I2C是一种两线的协议&#xff0c;一条使SCL&#xff0c;一条是SDA。 他们两个分别承担时钟线和数据线的作用&#xff0c; 时钟线SCL用来保持与主机的同步通信&#xff1b;数据线SDA用于数据的发送与接收。 SCL的英文是 Slave Clock &#xff0c;意思是给从机的时钟…

hal库 stm32 按键单击、双击、三击、四击以及长按的实现与使用

对于cubemx的配置 打开一个定时器&#xff0c;打开对应的按键中断即可。 代码 KeyMode.h #ifndef __KEY_MODE_H_ #define __KEY_MODE_H_#include "stdint.h"#define KeyTim htim7 #define KeyMode TIM7#endif /*__KEY_MODE_H_*/KeyMode.c #include "tim…

cubemx stm32 陶晶驰 串口屏 基于YXY通信原理的串口屏驱动代码

陶晶驰串口屏 资料 陶晶驰串口屏是本质是一个MCU&#xff0c;屏幕是MCU的模块&#xff0c;一般是一块TFT屏幕。在串口屏厂商提供的软件上面编写界面&#xff0c;然后通过串口直接烧到串口屏的MCU上&#xff0c;然后在屏幕上展示出来。 串口屏资料中心传送门入口 使用方法 串口…

STM32 NVIC中断优先级管理 -- 分析

CM4 内核支持 256 个中断&#xff0c;其中包含了 16 个内核中断和 240 个外部中断&#xff0c;并且具有256 级的可编程中断设置。但 STM32F4 并没有使用 CM4 内核的全部东西&#xff0c;而是只用了它的一部分。 STM32F40xx/STM32F41xx 总共有 92 个中断&#xff0c; STM32F42x…

MDK 中寄存器地址名称映射 -- 分析

之所以要讲解这部分知识&#xff0c;是因为经常会遇到客户提到不明白 MDK 中那些结构体是怎么与寄存器地址对应起来的。这里我们就做一个简要的分析吧。 首先我们看看 51 中是怎么做的。 51 单片机开发中经常会引用一个 reg51.h 的头文件&#xff0c;下面我们看看他是怎么把名…

Keil MDK5勾选Reset and Run下载固件仍然不自动运行的解决办法

解决方法&#xff1a; 把pack里面的ENABLE√取消掉就好了

STM32F429 HAL库ADC+DMA+TIM3外部触发配置

一、ADC部分 ADC_HandleTypeDef ADC1_Handler;//ADC句柄 u16 ADC_DMA_ConvertedValue[3]; void Adc_Timer_Init(u16 arr,u16 psc);//初始化ADC //ch: ADC_channels //通道值 0~16取值范围为&#xff1a;ADC_CHANNEL_0~ADC_CHANNEL_16 void MY_ADC_Init(void) { ADC_ChannelCo…

HDLBits学习------Problem 127~130

参考链接&#xff1a;HDLBits导学 Problem 127 Lemmings1 问题&#xff1a;游戏旅鼠涉及到有非常简单的大脑的生物&#xff0c;我们将会使用有限状态机&#xff08;FSM&#xff09;对其建模。 在旅鼠的2D世界中&#xff0c;旅鼠只有两种状态&#xff1a;向左走和向右走。当它遇…

最简单DIY基于STM32单片机的蓝牙智能小车设计方案

STM32库函数开发系列文章目录 第一篇&#xff1a;STM32F103ZET6单片机双串口互发程序设计与实现 第二篇&#xff1a;最简单DIY基于STM32单片机的蓝牙智能小车设计方案 文章目录STM32库函数开发系列文章目录前言一、最简单DIY基于STM32单片机的蓝牙智能小车设计方案是什么&…

移植最新版本OpenHarmony到STM32F407

STM32F407移植OpenHarmony系统 一、前言 最近OpenHarmony3.1已正式发布,标准系统(LiteOS-A)基础能力增强,小型系统(LiteOS-M)基本没啥变化,趋于稳定,为了弥补这个缺陷,移植最新的LiteOS-M到MCU上,使用最新的系统特性,我又研究了一下OpenHarmony移植到MCU的过程,之前移…

rs485接口的EMC电路如何设计,教你轻松学会EMC

原理图NO.1 1. RS485接口6KV防雷电路设计方案 图1 RS485接口防雷电路 接口电路设计概述: RS485用于设备与计算机或其它设备之间通讯,在产品应用中其走线多与电源、功率信号等混合在一起,存在EMC隐患。 本方案从EMC原理上,进行了相关的抑制干扰和抗敏感度的设计,从…

送给对象的时钟

给大家分享一个用ESP8266做一个高颜值的RGB时钟的项目! 这个时钟还具有自动亮度控制功能并配备了温度传感器! 材料准备 PCB ESP8266或Nodemcu 跳线 焊接工具 第1步: 准备工作 Neo Pixel是可寻址的LED,我们可以通过编程,让它显示任何一种颜色或者数字。 Neo Pixel有不同的…

小白带你学习Ubuntu中的VSCode和STM32仿真运行

文章目录前言一、Ubuntu中Visual Studio Code的安装及简单调试二、Proteus仿真运行stm32程序总结前言 本文讲解了小白在Ubuntu中下载安装VSCode&#xff0c;并且在其中进行简单调试的过程。还有在proteus中进行硬件仿真&#xff0c;再在Keil里面进行软件仿真&#xff0c;然后将…

Altium Designer绘制stm32f103c8t6最小系统原理图

文章目录前言芯片封装自定义封装原理图绘制总结前言 本文提供了初学者绘制stm32最小系统&#xff0c;同时初学者的同学可以跟着小白学习绘制原理图哦~ 芯片封装 提示&#xff1a;下载安装好Altium Designer之后才能进行以下操作哦&#xff01; 第一步&#xff1a;开启新篇章 …

使用Arduino和脉搏传感器监视心跳/脉搏/BPM速率

在本篇文章中&#xff0c;我们使用Arduino开发板和脉搏传感器&#xff08;Pulse Sensor&#xff09;设计了一款心跳/脉搏/BPM速率监视器。脉搏传感器连接到Arduino开发板以监控心跳/脉搏/BPM速率&#xff0c;然后将结果显示在20 * 4 LCD显示屏上。您也可以使用1602或其他形式的…

汇编程序设计-11-AX、BX、CX、DX寄存器

AX、BX、CX、DX是通用寄存器、用于存放数据&#xff0c;也叫做数据寄存器。它们是16位的,所以也叫16位寄存器。保存的范围为&#xff1a; 0000 0000 0000 0000~1111 1111 1111 1111 0~FFFF 0~65535 这4个寄存器的特殊之处就在于&#xff0c;它们都可以分为2个独立的8位寄存器 A…

stm32cubemx配置mpu6050——10分钟0基础到灵活使用

stm32cubemx配置mpu6050——10分钟0基础到灵活使用 10分钟速通&#xff01;你没看错&#xff0c;就是10min&#xff0c;从0基础到灵活运用mpu6050。 不信&#xff1f;往下看看就会&#xff1a;嗷~原来如此 第一步 下载github开源代码。 https://github.com/leech001/MPU6050首…

STM32中通过固件库自己编写系统时钟配置文件

使用HSE配置系统时钟 因为STM工程使用固件库&#xff0c;进入main函数时都已配置好时钟&#xff0c;所以我们自己编写时钟配置文件首先需要复位RCC寄存器&#xff01;使能HSE判断HSE是否启动成功使能预取指设置FLASH等待周期配置3条总线的倍频因子配置锁相环&#xff0c;使能锁…

USART串口通信

相关通信的基本概念 通信可分为&#xff1a; 单工通信&#xff1a;任何时刻只能向一个方向传输数据半双工&#xff1a; 不可同时收发数据&#xff0c;但可分时收发全双工&#xff1a; 可同时收发数据 -通信还可分为&#xff0c;同步和异步两种 有时钟信号的&#xff0c;都可…

细致分析及解决:STM32CUBEMX报错 xxx but MDK-ARM V5.27 project generation have a problem以及keil的device not found

问题描述以及bug&#xff1a; 分析&#xff1a; 1.路径或文件名包含中文 2.jdk版本太高&#xff0c;使用jdk 11即可解决 首先查找jdk版本 cmdjava -version 然后要完全删除jdk JDK是什么 Java Development Kit&#xff08;JDK&#xff09;是太阳微系统针对Java开发人员发布…

STM32中断开关

方法1 通过位带操作控制 要控制具体的中断线路&#xff0c;改变n即可 例如&#xff1a;n 1, 就是 控制 中断线路 1#define EXx *((volatile unsigned int *)(0x42000000((uint32_t)&EXTI->IMR-0x40000000)*32n*4)) 方法2 寄存器别名操作 #define EX0_ON EXTI->I…

stm32单片机学习笔记——keil使用基本操作

stm32单片机学习笔记 &#xff08;一&#xff09;keil使用基本操作 1、新建文件 源代码基础上建议新建副本改写&#xff0c;防止源代码错误修改注意后缀.c/.h 2、添加工程 使用魔方块—>添加文件到工程&#xff08;保存地址&#xff09; 3、配置环境 使用魔术棒&#xff0…

第12届嵌入式蓝桥杯真题-停车场管理系统的设计与实现

目录 实验要求&#xff1a; 实验思路&#xff1a; 核心代码&#xff1a; &#xff08;1&#xff09;主函数 &#xff08;2&#xff09;lcd显示 &#xff08;3&#xff09;按键函数 &#xff08;4&#xff09;LED显示函数 &#xff08;5&#xff09;业务处理函数 &…

STM(X)PWM输出

术语 ARR&#xff1a;计数器的值CCR&#xff1a;比较值 PWM模式&#xff08;TIMx_CCMR1_OC1M[2:0]&#xff09; 1.PWM模式1&#xff1a;计数器值<比较值时&#xff1a;输出有效电平 2.PWM模式2&#xff1a;计数器值>比较值时&#xff1a;输出有效电平 电平有效性&…

《野火RT-Thread内核实现与应用开发实战》笔记6. 定时器的实现

1. 前期回顾 在本章之前&#xff0c;为了实现线程的阻塞延时&#xff0c;在线程控制块中内置了一个延时变量remaining_tick。每当线程需要延时的时候&#xff0c;就初始化 remaining_tick 需要延时的时间&#xff0c; 然后将线程挂起&#xff0c;这里的挂起只是将线程在线程就…

13 直接存储器访问DMA(基于STM32HAL库)

目录 DMA-直接存储器访问控制器 DMA概览 DMA的作用 DMA框图 DMA外设要点概括 DMA功能对比 STMF10x DMA具体内容 DMA主要特性 DMA中断 DMA请求映像 DMA的使用步骤 HAL库中的DMA功能实例 句柄结构体介绍&#xff08;以DMA为例&#xff09; 外设初始化结构体介绍 具体…

【STM32智能车】小车状态

【STM32智能车】小车状态 搭建智能车 65MM轮径小车所选材料安装说明直行测试智能车可能存在的状态 智能车功能丰富&#xff0c;我们从最基础的开始&#xff0c;先来搭建一个智能车吧~。 搭建智能车 我们之前用了一个测试板子去学习调试电机&#xff0c;是时候拼装一个简单的车来…

嵌入式工程师面试题集-MCU_STM32

一、选择题 1.Cortex-M处理器采用的架构是&#xff08; D &#xff09; &#xff08;A&#xff09;v4T &#xff08;B&#xff09;v5TE &#xff08;C&#xff09;v6 &#xff08;D&#xff09;v7 2.NVIC可用来表示优先权等级的位数可配置为是&#xff08; D &#xff09; &a…

【STM32F103】0.96寸OLED工程模板

主程序页面&#xff1a; OLED显示页面&#xff1a; 可实现功能函数&#xff1a; 百度云链接&#xff1a; 0.96寸OLED工程模块https://pan.baidu.com/s/1a1ae4NQSUZh0Cb5EyUGuEghttps://pan.baidu.com/s/1a1ae4NQSUZh0Cb5EyUGuEg提取码&#xff1a;1111

stm32 GPIO配置以及什么时候用 GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IPU

问题一&#xff1a;配置GPIO的步骤 现在做一个最简单的GPIO控制LED的 GPIO初始化 &#xff08;1&#xff09;初始化结构体 GPIO_InitTypeDef GPIO_InitStructure; &#xff08;2&#xff09;使能相应的时钟&#xff08;程序最初应该有#define RCC_GPIO_LED GPIOB 或其他组端口…

I2C通信问题

1、所有的I2C接口都是OD开漏输出的模式&#xff08;可以实现线与的功能&#xff09;&#xff0c;因此在使用MCU模拟I2C通信时&#xff0c;SCL和SDA必须配置为OD模式&#xff0c;不能配置为PP推挽输出模式&#xff08;不能正常通信&#xff09; 2、SCL和SDA总线需要分别上拉4.7K…

stm32智能小车设计

提前说说 博主是用寄存器写的驱动 历时两周&#xff0c;总算把小车弄好了&#xff0c;总体上来说做的太慢了。自己在32的学习中还不够仔细深入&#xff0c;只是浅面的学习&#xff0c;当真正做一个项目时&#xff0c;暴露的问题就太多了。这次在小车的制作的过程中&#xff0…

基于stm32f103循迹避障遥控车

参考博文&#xff1a; 上篇 中篇 下篇

K_A32_002 基于STM32等单片机驱动红外接收模块 串口+OLED0.96显示

K_A32_002 基于STM32等单片机驱动红外接收模块 串口OLED0.96显示 所有资源导航一、资源说明二、基本参数参数引脚说明 三、驱动说明时序:对应程序: 四、部分代码说明1、接线引脚定义1.1、STC89C52RC红外接收模块1.2、STM32F103C8T6红外接收模块 五、基础知识学习与相关资料下载…

STM32开发--精华文章汇总

经常看到一些大牛很精彩的文章&#xff0c;分析和讨论的知识点很深刻、深入。 本篇文章&#xff0c;将收集整理这些精彩文章的链接&#xff0c;不断补充和更新......&#xff0c;方便学习和知识回忆管理。 C语言 堆栈大小详解 以及变量存储位置 2020.3.3STM32 STM32专栏 2…

单片机STM32在开发中常用库函数详解

1.GPIO初始化函数 用法&#xff1a; voidGPIO_Configuration(void) { GPIO_InitTypeDefGPIO_InitStructure;//GPIO状态恢复默认参数 GPIO_InitStructure.GPIO_PinGPIO_Pin_标号|GPIO_Pin_标号; //管脚位置定义&#xff0c;标号可以是NONE、ALL、0至15。 GPIO_InitStruct…

STM32 DMA配置

作个搬运工&#xff0c;以下内容均来自&#xff1a; https://blog.csdn.net/gdjason/article/details/51019219 https://blog.csdn.net/u010280307/article/details/53334985 https://blog.csdn.net/faihung/article/details/78748033 https://blog.csdn.net/weixin_40709185/a…

解决KEIL上的ST-LINK提示升级文件过大的问题

▼我是阿荣&#xff0c;关注我&#xff0c;在技术路上一起精进&#xff01;▼ 今天翻出了尘封已久的潘多拉STM32L4开发板&#xff0c;准备研究下LCD屏&#xff0c;结果在使用ST-Link时&#xff0c;提示版本过旧&#xff0c;需要升级。然而升级包过大&#xff0c;不适合在STM32F…

【正点原子STM32连载】第三十七章 485实验 摘自【正点原子】STM32F103 战舰开发指南V1.2

1&#xff09;实验平台&#xff1a;正点原子stm32f103战舰开发板V4 2&#xff09;平台购买地址&#xff1a;https://detail.tmall.com/item.htm?id609294757420 3&#xff09;全套实验源码手册视频下载地址&#xff1a; http://www.openedv.com/thread-340252-1-1.html# 第三…

CH32V307环境参数在线监测系统(一)

CH32V307环境参数在线监测系统是以CH32V307VCT6为核心&#xff0c;由ESP8266模块、DHT11温湿度传感器模块、TFT LCD显示屏组成。系统实物图如下所示&#xff1a; 系统功能主要有RTC实时时钟、WIFI网络授时、DHT11温度测量、温湿度数据实时上传到onenet平台、屏幕定时刷新等功能…

STM32端口模式配置——上拉、下拉、模拟、浮空输入;推挽、开漏、复用输出

**1、上拉输入&#xff1a;**上拉就是把电位拉高&#xff0c;比如拉到Vcc。上拉就是将不确定的信号通过一个电阻嵌位在高电平&#xff01;电阻同时起限流作用&#xff01;强弱只是上拉电阻的阻值不同&#xff0c;没有什么严格区分。 **2、下拉输入&#xff1a;**就是把电压拉低…

RT-ThreadBearPi 开发笔记 -- 为小熊派开发板制作 RT-Thread BSP 包

为小熊派开发板制作 RT-Thread BSP 包 前段时间&#xff0c;微联智控工作室向南京厚德物联网有限公司申请了一款物联网开发平台---小熊派物联网开发套件&#xff08;BearPi-IoT&#xff09;。关于小熊派IoT开发平台的具体介绍&#xff0c;可以查看以下链接&#xff1a; 小熊派…

什么是回调函数、钩子函数

这两个函数常常出现在操作系统中&#xff0c;有些相近很容易混淆&#xff0c;这两个名词都是站在操作系统为主体的角度根据功能特性命名的 名称定义系统实现内容用户实现内容回调函数系统运行中&#xff0c;需要调用用户编写的函数&#xff0c;该函数通过函数指针方式注册给系…

STM32 FSMC简介(二)

以下内容整理自《STM32中文参考手册》、正点原子《STM32F1开发指南--库函数版》、野火《零死角玩转STM32F103霸道V2》&#xff0c;仅为个人学习方便所整理 4.AHB接口的作用&#xff1a;&#xff08;1&#xff09;为内部CPU和其它总线控制设备访问外部静态存储器提供了通道&…

大小端转换代码(宏、函数方式)(浮点、整数)

宏实现大小端转换 #define BIG_LITTLE_SWAP16(x) ( (((*(short int *)&x) & 0xff00) >> 8) | \(((*(short int *)&x) & 0x00ff) << 8) )#define BIG_LITTLE_SWAP32(x) ( (((*(long int *)&x) & 0xff000000) >> 24) |…

STM32最小系统硬件组成详解

电源、复位、时钟、启动、调试接口 1、电源 常见电源名词解析 VCC 电路的供电正电压 GND 电路的供电负电压 VDDD 芯片的工作数字正电压 VSSD 芯片的工作数字正电压 VDD 芯片的工作正电压 VSS 芯片的工作负电压 VREF ADC基准参考正电压 VREF- ADC基准参考负电压 V…

01 - STM32CubeMX 新建立工程

点击放大浏览 更清晰&#xff01;

STM32进入死循环HardFault_Handle()或Error_Handler()解决方案

1, void Error_Handler(void) 死循环。 现象&#xff1a;跳转不到 main() 函数 原因&#xff1a;一般是因为没有用微库进入半主机模式解决方案&#xff1a;MDK中Options > Target > Use MicroLIB 打钩2, void HardFault_Handler(void) 死循环。 现象&#xff1a;跑着跑…

STM32 串口接收 检测到溢出错误 无法清标志

一、问题背景 单片机 重定向c库函数printf到串口 方便了很多调试工作&#xff01; 但今天要用到一个重定向c库函数scanf到串口&#xff0c;于是就用了下面函数。开始用的也挺好&#xff01;但遇到特殊场合就很难用&#xff0c;还有各种问题&#xff01;发现百度也说了scanf 函数…

模拟I2C 源码

模拟I2C 源码 &#xff08;SDA要切换输入输出模式&#xff09; iic.c 源文件源码 /**copyright Copyright(c)2014-2011 XXXX Co.,Ltd. All rights reserved.******************************************************************************** file* brief * author 匠在江…

stm32f4-led

int main(void) { LED_Init(); //初始化LED端口while(1){GPIO_bits_OUT(GPIOG,13,3,0x0006);delay_ms(500);GPIO_bits_OUT(GPIOG,13,3,0x0005);delay_ms(500);GPIO_bits_OUT(GPIOG,13,3,0x0003);delay_ms(500);} }void LED_Init(void) { GPIO_InitTypeDef GPIO_Init…

STM32互补PWM输出使能控制

STM32的高级定时器TIM1可以产生互补的PWM&#xff0c;并且可以通过相关寄存器的设置使能或关闭PWM的输出。在编写BLDC的驱动程序时&#xff0c;本人利用TIM1的channel1,2,3三个通道生成了三路互补的PWM波形&#xff0c;定时器驱动程序如下&#xff1a; void TIM1_Init(u16 arr…

如何在 STM32G474 Nucleo-G474RE 上使用 Bootloader

目录 1. 导言 2. 准备工作 3. 使用 Bootloader 的步骤 步骤一&#xff1a;编写 Bootloader 程序 步骤二&#xff1a;编译和烧写 Bootloader 程序 步骤三&#xff1a;编写应用程序 步骤四&#xff1a;编译和烧写应用程序 4. 测试 5. 总结 1. 导言 欢迎阅读本篇文章&am…

FreeRTOS_时间管理

目录 1. FreeRTOS 延时函数 1.1 函数 vTaskDelay() 1.2 函数 prvAddCurrentTaskToDelayedList() 1.3 函数 vTaskDelayUntil() 2. FreeRTOS 系统时钟节拍 在使用 FreeRTOS 的过程中我们通常会在一个任务函数中使用延时函数对这个任务延时&#xff0c;当执行延时函数的时候就…

在keil中使用JLINK进行下载时的种种问题

在设备管理器中寻找插入的JLINK设备&#xff0c;存在以下两种情况&#xff1a; 无法正确识别设备 这种情况下一般需要通过硬件上的短接擦除Flash&#xff0c;复制原有固件&#xff0c;刷入V8固件。详细方法能搜到很多&#xff0c;一般win10用sam-ba_2.12程序烧录固件&#xff…

【STM32G431RBTx】备战蓝桥杯嵌入式→决赛试题→第十二届

文章目录 前言一、题目二、模块初始化三、代码实现interrupt.h:interrupt.c:main.h:main.c: 四、完成效果五、总结 前言 无 一、题目 二、模块初始化 1.LCD这里不用配置&#xff0c;直接使用提供的资源包就行 2.ADC:开启ADCsingle-ended 3.LED:开启PC8-15,PD2输出模式就行了…

【正点原子STM32连载】 第二十三章 电容触摸按键实验 摘自【正点原子】STM32F103 战舰开发指南V1.2

1&#xff09;实验平台&#xff1a;正点原子stm32f103战舰开发板V4 2&#xff09;平台购买地址&#xff1a;https://detail.tmall.com/item.htm?id609294757420 3&#xff09;全套实验源码手册视频下载地址&#xff1a; http://www.openedv.com/thread-340252-1-1.html 第二十…

STM32内部flash闪存的总结

最近在做无人船和机巢远程在线升级的项目&#xff0c;牵扯到flash的操作&#xff0c;特此记录&#xff0c;便于以后查找。IMU也用到过&#xff0c;当时没记录 具体细节看 E:\Documets\AY\a-project\IMU\IMU16500\S0IMU v3.3 study\User\Driver\source eeprom.c E:\Documets\A…

STM32H750之FreeRTOS学习--------(一)初识RTOS

FreeRTOS 一、初识RTOS 裸机&#xff1a;裸机又称为前后台系统&#xff0c;前台系统指的中断服务函数&#xff0c;后台系统指的大循环&#xff0c;即应用程序 实时性差,程序轮流执行delayCPU空等待&#xff0c;效率低程序混乱&#xff0c;臃肿&#xff0c;功能都放在while循环…

6.STM32中断优先级管理

1.中断 stm32的芯片通常有90多个以上的中断&#xff0c;具有16级可编程的中断优先级。 2.中断管理方法 1.首先对STM32中断进行分组&#xff0c; 有组0~4。同时对每一个中断设置一个抢占优先级和一个响应优先级值。 分组配置是在寄存器SCB->AIRCR中配置&#xff1a; SCB-&…

基于STM32闭环步进电机控制系统设计

**单片机设计介绍&#xff0c;1654基于STM32闭环步进电机控制系统设计&#xff08;仿真&#xff0c;程序&#xff0c;说明&#xff09; 文章目录 一 概要二、功能设计设计思路 三、 软件设计原理图 五、 程序文档 六、 文章目录 一 概要 基于STM32的闭环步进电机控制系统设计是…

GPIO工作原理

一、 4种输入模式: 输入浮空输入上拉输入下拉模拟输入 4种输出模式: 开漏输出开漏复用功能推挽式输出推挽式复用功能 3种最大翻转速度: -2MHZ-10MHz-50MHz推挽输出: 可以输出强高低电平,连接数字器件。 开漏输出: 只可以输出强低电平,高电平得靠外部电阻拉高。输出…

14.STM32OLED

1.OLED电路图 工作模式选择 2.OLED8080并行接口信号线 3.OLED8080读写过程 RD为高&#xff0c;写数据,WR上升沿时候写入 WR为高&#xff0c;读数据&#xff0c;RD上升沿时候读出 DC为高&#xff0c;写数据 DC为低&#xff0c;写命令 CS&#xff0c;拉低才可以操作其它指命 …

基于stm32的超声波测距报警系统(附源码和连接方式;串口显示)

之前自己写过51 单片机的超声波测距代码&#xff0c;最近学了stm32&#xff0c;就想着也用stm32来写一个。想着写出来分享给大家吧。相比之下&#xff0c;51和stm32自己还是偏喜欢stm32的&#xff0c;主要是基于固件库来进行开发的 自己也很喜欢调用固件库的感觉&#xff0c;所…

电容 stm32

看到stm32电源部分都会和电容配套使用&#xff0c;所以对电容的作用产生了疑惑 电源 负电荷才能在导体内部自由移动&#xff0c;电池内部的化学能驱使着电源正电附近的电子移动向电源负极区域。 电容 将电容接上电池&#xff0c;电容的两端一段被抽走电子&#xff0c;一端蓄积…

STM32 寄存器配置笔记——USART配置中断接收乒乓缓存处理

一、概述 本文主要介绍如何配置USART接收中断&#xff0c;使用乒乓缓存的设计接收数据并将其回显在PC 串口工具上。以stm32f10为例&#xff0c;配置USART1 9600波特率。具体配置参考上一章节STM32 寄存器配置笔记——USART配置 打印。 乒乓缓存的设计应用场景&#xff1a;当后面…

嵌入式开发学习之STM32F407串口(USART)收发数据(三)

嵌入式开发学习之STM32F407串口&#xff08;USART&#xff09;收发数据&#xff08;三&#xff09; 开发涉及工具一、选定所使用的串口二、配置串口1.配置串口的I/O2.配置串口参数属性3.配置串口中断4.串口中断在哪里处理5.串口如何发送字符串 三、封装串口配置库文件1.创建头文…

STM32启动/下载模式

启动模式 主闪存存储器区存放用户设计的代码&#xff0c;代码烧录到这里&#xff0c;一般情况在正常工作时需要把STM32设置为从这里启动。 系统存储器区用来实现ISP功能的。 内嵌SRAM区用来实现调试器调试功能的。 ISP ISP一般由PC机通过串口把bin/hex文件直接烧写到单片机内…

移植Ben Katz的电机控制到使用stm32g474re和DRV8353的CTU FEE开发定制板

目录 引言 系统架构和原理 移植步骤 1. 设置开发环境 2. 初始化硬件 3. 移植电机控制代码 4. 测试和调试 结论 引言 在控制系统领域&#xff0c;Ben Katz的电机控制算法在精准、稳定和高效的性能上赢得了良好的声誉。这个博客文章将指导您如何将这个优秀的电机控制算法…

蓝桥杯嵌入式(G4系列):定时器捕获

前言&#xff1a; 定时器的三大功能还剩下最后一个捕获&#xff0c;而这在蓝桥杯嵌入式开发板上也有555定时器可以作为信号发生器供定时器来测量。 原理图部分&#xff1a; 开发板上集成了两个555定时器&#xff0c;一个通过跳线帽跟PA15相连&#xff0c;最终接到了旋钮R40上&…

Keil MDK编程环境下的 STM32 IAP下载(学习笔记)

IAP的引入 不同的程序下载方式 ICP ICP(In Circuit Programing)。在电路编程&#xff0c;可通过 CPU 的 Debug Access Port 烧录代码&#xff0c;比如 ARM Cortex 的 Debug Interface 主要是 SWD(Serial Wire Debug) 或 JTAG(Joint Test Action Group)&#xff1b; ISP ISP(I…

STM32F1小系统调试案例——串口采用HAL库中断方式接收,只能收到第一批数据

项目场景&#xff1a; 使用STM32F401的HAL库&#xff0c;编写串口中断收发数据。 问题描述 HAL_UART_Receive_IT(&UartHandle, (uint8_t *)aRxBuffer, RXBUFFERSIZE); 每次调用HAL_UART_Receive_IT()函数启动中断模式接收数据后&#xff0c;只能正常接收到第1批数据&…

地铁站人流检测硬件部分

目录 一、概述 二、驱动程序 2.1debug串口 2.2体重传感器HX711 2.3滴答定时器 2.4ESP8266 2.5人体检测 2.6 IIC的GPIO 2.7 OLED的IIC 2.8 LED 三、应用 四、中断 一、概述 使用STM32C8T6作为主控 A9 ---> tx&#xff08;调试串口&#xff09; A10 ---> …

labview中的VISA

VISA是新一代仪器I/O标准&#xff0c;作为通用标准&#xff0c;VISA具有与仪器硬件接口和具体计算机无关的特性&#xff0c;即VISA是面向器件功能&#xff0c;而不是面向接口总线的&#xff0c;控制RS232等仪器时不必考虑接口总线类型。在LabVIEW 中利用VISA节点进行串行通信编…

【Proteus仿真】【Arduino单片机】RGB彩灯

文章目录 一、功能简介二、软件设计三、实验现象联系作者 一、功能简介 本项目使用Proteus8仿真Arduino单片机控制器&#xff0c;使用WS2812 RGB彩灯等。 主要功能&#xff1a; 系统运行后&#xff0c;RGB彩灯花样显示。 二、软件设计 /* 作者&#xff1a;嗨小易&#xff08;…

基于DAC0832的信号发生器设计与实现(源码+原理图+PCB)

摘要&#xff1a; 本课题设计了一个基于DAC0832的信号发生器&#xff0c;使之输出不同频率的正弦波、三角波、锯齿波和方波&#xff0c;并通过按键切换不同的波形&#xff0c;也可以改变频率以及频率变化的步进。本方案选择了DAC0832作为核心芯片&#xff0c;并与51单片机结合…

使用STM32唯一序列号进行简单加密操作

最近研究程序加密&#xff0c;防止别人读出数据&#xff0c;我使用的是STM32系列单片机&#xff0c;用到了唯一序列ID&#xff0c;读取出ID对其进行一些简单的算法&#xff0c;然后把算法放到协议中或主程序中判断密码是否正确。 以下是用C语言编写读取STM32唯一序列号&#xf…

STM32-互补输出带死区和刹车断路笔记

互补输出带死区控制 比如说&#xff0c;高级控制定时器&#xff08;TIM1 和 TIM8&#xff09;可以输出两路互补信号&#xff0c;并管理输出的关断与接通瞬间。这段时间通常称为死区&#xff0c;由于硬件设备的延迟和一些设备转换的用时&#xff0c;这时候进行操作可能会导致比…

STM32之ADC的理解及运用

文章目录前言一、ADC的输入通道与模式1、输入通道2、模式1&#xff09;独立模式单通道采集2&#xff09;独立模式多通道采集3&#xff09;双重ADC模式采集二、ADC的触发方式三、编程要点四、资源连接前言 ADC在项目中使用运用的很广泛&#xff0c;有ADC自然也有DAC&#xff0c;…

STM32创建工程步骤

以创建led工程为例&#xff1a; 新建一个led文件夹 新建一个以led命名的工程&#xff08;用keil_uVision5&#xff09;并添加三个组。 Library文件夹里放置库函数文件。 User&#xff1a; 点亮led灯的程序&#xff1b; 直接给寄存器赋值 调用库函数。 #include "stm…

AC7811系列 程序上禁用了SWD之后,复位引脚拉低再拉高下载不成功

【本文发布地址https://blog.csdn.net/Stack_/article/details/116052029&#xff0c;未经许可不得转载&#xff0c;转载须注明出处】 在STM32上&#xff0c;如果SWD功能重定向为GPIO导致无法下载&#xff0c;则只需在点击下载前拉低复位引脚&#xff0c;点击下载的同时释放复位…

STM32控制OLED介绍

OLED&#xff0c;即有机发光二极管&#xff08;Organic Light-Emitting Diode&#xff09;&#xff0c;又称为有机电激光显示&#xff08;Organic Electroluminesence Display&#xff0c; OELD&#xff09;。 OLED 由于同时具备自发光&#xff0c;不需背光源、对比度高、 厚度…

传感器类总结(一)MPU9250 1原理介绍

1简介 MPU9250是一款9轴运动跟踪装置&#xff0c;在它3x3x1mm的封装中集成了3轴陀螺仪&#xff0c;3轴加速度计&#xff0c;3轴磁力计以及运动处理器&#xff08;DMP&#xff09;并且兼容 MPU6515。通过IIC&#xff0c;可以直接输出9轴的全部数据。因此它也是四轴姿态结算的基…

STM32_3(GPIO)

GPIO简介 GPIO&#xff08;General Purpose Input Output&#xff09;通用输入输出口8种输入输出模式输出模式可控制端口输出高电平&#xff0c;驱动LED、蜂鸣器、模拟通信协议输出时许等输入模式可读取端口的高低电平或电压&#xff0c;用于读取按键输入、外接模块电平信号输…

DMA基本原理

DMA简介 DMA 全称Direct Memory Access,即直接存储器访问。 DMA传输将数据从一个地址空间复制到另一个地址空间。当CPU初始化这个传输动作,传输动作本身是由DMA控制器来实现和完成的。DMA传输方式无需CPU直接控制传输&#xff0c;也没有中断处理方式那样保留现场和恢复现场过程…

STM32F4_PWM DAC

目录 1. 为什么需要使用PWM DAC 2. PWM DAC简介 3. 硬件设计 4. 软件设计 4.1 main.c 4.2 PWMDAC.c 4.3 PWMDAC.h 1. 为什么需要使用PWM DAC 虽然STM32F4自带DAC模块&#xff0c;但是在有些时候&#xff0c;可能出现两个DAC不够用的情况&#xff08;STM32F4只有两个DAC&…

STM32Cube高效开发教程<基础篇>(七)----基础定时器

声明:本人水平有限,博客可能存在部分错误的地方,请广大读者谅解并向本人反馈错误。    本专栏博客参考《STM32Cube高效开发教程(基础篇)》,有意向的读者可以购买正版书籍辅助学习,本书籍由王维波老师、鄢志丹老师、王钊老师倾力打造,书籍内容干货满满。 一、 概述 STM…

基于MDK创建纯汇编语言的STM32工程

文章目录一、新建项目二、编写代码进行测试三、查看.hex文件四、参考资料一、新建项目 keil5不是在file里创新项目&#xff0c;而是在project里&#xff0c;运行keil5并新建项目 接下来设置路径&#xff0c;项目名称随便填&#xff0c;保存 根据自己的硬件设备选择&#xff0…

STM32F030R8Tx使用HAL库实现ADC DMA功能

准备工程&#xff0c;本例程在RTC例程上添加&#xff0c;参考链接如下 https://blog.csdn.net/mygod2008ok/article/details/106751919 将stm32f0xx_hal_adc.c,stm32f0xx_hal_adc_ex.c, stm32f0xx_hal_dma.c三个文件加入到工程中 在stm32f0xx_hal_conf.h中打开HAL_ADC_MODULE_…

基于STM32F030R8Tx实现按键扫描

准备工程&#xff0c;此例程在以下链接的例程的基础上添加的按键扫描功能 https://blog.csdn.net/mygod2008ok/article/details/106954917 新建BSP_key.c和BSP_key.h并加入到工程 BSP_key.c的内容如下 /** * file BSP_key.c * author jzhou * version V1.0 * date 11-Nov-201…

STM32F030R8Tx HAL库使用flash和stop模式

准备工程&#xff0c;此例程在此链接的基础上添加的 https://blog.csdn.net/mygod2008ok/article/details/106978147 在工程中添加stm32f0xx_hal_flash.c,stm32f0xx_hal_flash_ex.c, stm32f0xx_hal_pwr.c和stm32f0xx_hal_pwr_ex.c 在stm32f0xx_hal_conf.h中打开HAL_FLASH_MODU…

STM32测量倾斜角度

介绍 在本文中&#xff0c;我们将学习如何使用6轴陀螺仪/加速度传感器和STM32F103C8微控制器测量倾斜角度。 这可以通过简单地将6轴陀螺仪/加速度传感器与STM32接口来实现。 加速度计发送X&#xff0c;Y和Z加速度。 我们需要将力转换为X&#xff0c;Y&#xff0c;Z 3D角度&…

stm32备份

存储器的分类&#xff1a; 存储器首先根据断电后存储的数据是否会丢失&#xff0c;可以分为易失存储器和非易失存储器&#xff0c;易失存储器主要应用于内存&#xff0c;非易失存储器主要用于外存。 易失存储器以RAM随机存储器为代表&#xff0c;随机的含义是存储器中的数据读取…

【【STM32-SPI通信协议】】

STM32-SPI通信协议 STM32-SPI通信协议 •SPI&#xff08;Serial Peripheral Interface&#xff09;是由Motorola公司开发的一种通用数据总线 •四根通信线&#xff1a;SCK&#xff08;Serial Clock&#xff09;、MOSI&#xff08;Master Output Slave Input&#xff09;、MISO…

【STM32】SWD复用功能重定义,救“芯”指南

文章路标👉 文章解决问题储备知识STM32的启动模式SWJ调试端口ISP下载原理主题内容前置工具准备CH340x的ISP下载步骤硬件连接配置flyMCU工具配置关于STM32F405RG救芯注意事项文章解决问题 1️⃣ 在stm32工程使用过程中,因为不小心,将System Core中的SYS,Debug配置遗漏,在…

STM32F4系列标准库工程模板文件结构分析及标准工程模板分享

最近做的东西用到了STM32F4系列MCU&#xff0c;自己做了个STD库的工程模板&#xff0c;就到CSDN上来分享一下另外对STM32F4系列标准库的文件结构做个解释图&#xff0c;方便理解 STM32F4xx标准库标准工程模板STM32F4xx标准库工程模板的结构STM32F4xx标准库标准工程模板分享STM3…

步进电机S曲线驱动模块

一、电路 带有CAN及485接收&#xff0c;三个光耦接口&#xff0c;TMC2660电机驱动芯片&#xff0c;stm32f103的主控芯片 二、协议 一般来说&#xff0c;板子之间的通信协议格式通常为&#xff1a; 内容 帧头 长度 类型1 类型2 Data 校验 帧尾 字节数 1 1 1 1 N 2 1 帧头为0xB…

《windows核心编程》第4章 进程

一、进程基本概念 1、进程&#xff1a;一个进程就是一个正在运行的程序&#xff0c;一个程序可以产生多个进程。进程包含下面两个东西 ● 进程内核对象&#xff1a;一个内核对象被系统用来管理某个进程&#xff0c;内核对象就是代表这个进程。这个内核对象中&#xff0c;还包…

【STM32G431RBTx】备战蓝桥杯嵌入式汇总

文章目录 前言1.省赛模块总结 前言 蓝桥杯嵌入式要准备的模块还是有些数目的&#xff0c;做个目录方便查询 1.省赛模块 【STM32G431RBTx】备战蓝桥杯嵌入式→基本模块→LED 【STM32G431RBTx】备战蓝桥杯嵌入式→基本模块→LCD 【STM32G431RBTx】备战蓝桥杯嵌入式→基本模块→…

实际开发精品贴-1- Winhex使用,给SD卡烧录bin文件

资料获取 Winhex中文破解版本 由于是订阅用户,所以也支持作者帮忙下载,可直接联系我本人 点击下载 教学开始 由于是订阅用户,文章会非常详细 解压我分享的文件,右键点击图标,以管理员方式打卡 -1-你的SD卡插入读卡器,并且初始化SD卡 -2-点击第一步的地方 -3-点击第…

基于stm32的烟雾浓度检测报警proteus仿真设计(仿真+程序+讲解)

基于STM32的烟雾浓度检测报警仿真设计(仿真程序讲解&#xff09; 1.主要功能2.仿真3. 程序4. 资料清单&下载链接 基于STM32的烟雾浓度检测报警仿真设计(仿真程序讲解&#xff09; 仿真图proteus 8.9 程序编译器&#xff1a;keil 5 编程语言&#xff1a;C语言 设计编号&a…

外部中断概述

STM32的每个IO都可以作为外部中断输入。 STM32的中断控制器支持19个外部中断/事件请求&#xff1a; 线0~15&#xff1a;对应外部IO口的输入中断。 线16&#xff1a;连接到PVD输出。 线17&#xff1a;连接到RTC闹钟事件。 线18&#xff1a;连接到USB唤醒事件。 每个外部中断线可…

【STM32】 GPIO_EXTILineConfig详解

GPIO_EXTILineConfig(uint8_t GPIO_PortSource, uint8_t GPIO_PinSource)函数 用于配置EXIT外部中断/事件的GPIO中断源&#xff0c;传入的参数是GPIO和相应的IO口 官方源码个人注释 /*** brief Selects the GPIO pin used as EXTI Line.* param GPIO_PortSource: selects …

单片机复习题第二章

1.在AT89S52单片机中&#xff0c;如果采用6MHz晶振&#xff0c;一个机器周期为2us。 时钟周期的振荡频率为fosc&#xff0c;则时钟周期T1/fosc。 一个机器周期包括12个时钟周期。 2.内部RAM中&#xff0c;位地址为40H&#xff0c;88H的位&#xff0c;该位所在字节的字节地址分别…

GPIO结构原理图与模式配置(以STM32为例)

目录 GPIO等效原理图 输入模式配置 输出模式配置 复用功能 模拟配置 GPIO配置指南 STM32 GPIO可用于各种配置。每个GPIO引脚都可以通过软件在以下任何模式下单独配置&#xff1a; • 输入浮空 • 输入上拉 • 输入下拉 • 模拟 • 具有上拉或下拉功能的开漏输出 •…

stm32读写片内flash项目总结(多字节读写tongxindu)

1.flash操作驱动程序 a头文件 #ifndef FLASH_H #define FLASH_H #include “stm32f4xx.h” #define BOARD_NUM_ADDR 0x0800C000 #define STM32_FLASH_BASE 0x08000000 //STM32 FLASH的起始地址 #define FLASH_WAITETIME 50000 //FLASH等待超时时间 //FLASH 扇区的起始地址…

蓝桥杯物联网竞赛_STM32L071_3_Oled显示

地位&#xff1a; 对于任何一门编程语言的学习&#xff0c;print函数毫无疑问是一种最好的调试手段&#xff0c;调试者不仅能通过它获取程序变量的运行状态而且通过对其合理使用获取程序的运行流程&#xff0c;更能通过关键变量的输出帮你验证推理的正确与否&#xff0c;朴素的…

【正点原子STM32连载】 第六十章 串口IAP实验(Julia分形)实验 摘自【正点原子】APM32F407最小系统板使用指南

1&#xff09;实验平台&#xff1a;正点原子APM32F407最小系统板 2&#xff09;平台购买地址&#xff1a;https://detail.tmall.com/item.htm?id609294757420 3&#xff09;全套实验源码手册视频下载地址&#xff1a; http://www.openedv.com/thread-340252-1-1.html## 第六十…

STM32 默认时钟更改 +debug调试

STM32时钟 文章目录 STM32时钟前言一、修改系统时钟二、DEBUG 前言 为什么我们要改STM32的时钟呢&#xff0c;打个比方在做SPI驱动的时候&#xff0c;需要16M的时钟&#xff0c;但是stm32默认是72的分频分不出来&#xff0c;这个时候我们就要改系统时钟了&#xff0c;那么怎么…

工业通讯 | STM32F4xx应用控制器与SoM集成

STM32F4xx应用控制器与SoM集成 目录 1 介绍 2 准备工作 2.1 硬件要求 2.2 STM32F429I-Nucleo board 2.3 目标板系统 2.4 软件要求 2.4.1 STM32F4开发环境 2.4.2 交付清单 2.4.3 管理工具 2.4.4 GOAL和项目准备 3 导入项目 4 管理工具 4.1 设备检测 4.2 记录 4.3 C…

工业通讯 | STM32F4xx应用控制器与SoM集成 Ⅱ

上期回顾&#xff1a;工业通讯 | STM32F4xx应用控制器与SoM集成 STM32F4xx应用控制器与SoM集成 目录 1 介绍 2 准备工作 2.1 硬件要求 2.2 STM32F429I-Nucleo board 2.3 目标板系统 2.4 软件要求 2.4.1 STM32F4开发环境 2.4.2 交付清单 2.4.3 管理工具 2.4.4 GOAL和项…

基于STM32和ESP8266的WIFI信号检测仪

背景 楼主最近做了一个基于STM32和ESP8266的简易WIFI信号检测仪&#xff0c;可以检测到当前连接WIFI的信号强度&#xff0c;并且在OLED屏幕显示&#xff0c;并且每隔2秒刷新 硬件准备 STM32最小系统开发板 ESP8266模块 OLED屏幕 硬件连接 PB6(I2C1_SCL) PB7(I2C1_SDA) PA9…

STM32G070RBT6-MCU温度测量(ADC)

1、借助STM32CubeMX生成系统及外设相关初始化代码。 在以上配置后就可以生成相关初始化代码了。 /* ADC1 init function */ void MX_ADC1_Init(void) {/* USER CODE BEGIN ADC1_Init 0 *//* USER CODE END ADC1_Init 0 */ADC_ChannelConfTypeDef sConfig {0};/* USER COD…

基于单片机的肺活量检测系统(论文+源码)

1.系统设计 在基于单片机的肺活量检测系统中&#xff0c;在硬件上整个系统通过利用主控制器STC89C52单片机来实现对整个系统进行控制的功能&#xff0c;通过采用LCD1602实现实时液晶显示数据的功能&#xff0c;通过肺活量传感器XGZP6847ADC0832实现监测肺活量的工作&#xff0…

基于STM32的光敏传感器数据采集系统-嵌入式系统与设计课程设计

目录1 项目概述1.1 项目介绍1.2 项目开发环境1.3 小组人员及分工2 需求分析2.1 系统需求分析2.2 可行性分析2.3 项目实施安排3 系统硬件设计3.1 系统整体硬件电路设计3.2 STM32 最小系统电路设计3.3 传感器模块电路设计3.4 光敏电阻模块电路设计4系统软件设计4.1 系统整体流程设…

STM32 学习笔记_8 定时器中断:输入捕获

输入捕获 输入引脚发生跳变时&#xff0c;cnt的值会被记录到ccr中&#xff0c;可以用于测量pwm信号等。配置成pwmi模式还可以同时测量频率和占空比。主从触发模式可以实现硬件全自动测量。 高级定时器和通用定时器才有的功能。 这个功能只能测数字信号&#xff0c;对于a信号…

蓝桥杯物联网竞赛_STM32L071_6_RTC显示

作用&#xff1a; RTC在STM32微控制器中通常由一个独立的低功耗晶振和相关的寄存器组成。它可以独立于主处理器运行&#xff0c;即使在系统电源关闭的情况下(需要备用纽扣电池)&#xff0c;也能继续计时和记录日期。注意&#xff1a;RTC是芯片内部的功能&#xff0c;并没有和G…

STM32伺服电机PWM

这篇文章是关于在STM32&#xff08;STM32F103C&#xff09;微控制器中使用PWM&#xff08;脉冲宽度调制&#xff09;的详细教程。 介绍 脉宽调制&#xff08;PWM&#xff09;用于控制数字信号的幅度&#xff0c;以控制需要电源的设备和应用。 这是有关PWM生成的高级教程。 我…

定时器输出PWM控制电机(程序)

电机控制程序的三方面要点&#xff1a; 一、定时器的输出通道设置 二、电机频率的设定 三、占空比输入函数的设计 对于电机来说&#xff0c;转速与转向是最重要的表现。这里的程序是针对四个直流无刷电机来编写的。 控制四个电机&#xff0c;是需要电机驱动板的&#xff0c;因…

米尔AM62x核心板,高配价低,AM335x升级首选

AM335x是TI经典的工业MPU&#xff0c;它引领了一个时代&#xff0c;即工业市场从MCU向MPU演进&#xff0c;帮助产业界从Arm9迅速迁移至高性能Cortex-A8处理器。随着工业4.0的发展&#xff0c;HMI人机交互、工业工控、医疗等领域的应用面临迫切的升级需求&#xff0c;AM62x处理器…

底层驱动实现数码管显示温湿度数值功能

开发板&#xff1a;STM32MP157A 温湿度传感器&#xff1a;si7006 显示器&#xff08;数码管&#xff09;&#xff1a;m74hc595 遇到的问题&#xff1a;循环采集温湿度传感器数值&#xff0c;并将数值发送给数码管的时候两者存在竞态关系&#xff0c;导致数码管显示亮度很暗 …

*** error 65: access violation at 0xFFFFFFF4 : no ‘write‘ permission怎么办

我发现是我的单片机型号设置错了&#xff0c;把debug里面的STM32F103ZET6修改为STM32F103ZE就可以正常运行了

1.mbedtls移植到STM32

mbedtls学习笔记 1.关于mbedtls2.STM32移植方法2.1STM32cubemx移植2.2手动移植12.3移植总结2.4手动移植22.4.1移植方式22.4.2测试SHA1加密1.关于mbedtls 1.主要提供了的 SSL/TLS 支持(在传输层对网络进行加密),各种加密算法,各种哈希算法,随机数生成以及 X.509(密码学里…

cpld-day01 野火——点亮一盏LED灯

按键 LED 最小系统板 需要外部接入3.3v电源才能下载&#xff0c;不能仅仅插入下载线。 module day01 ( input wire key_in, output wire led_out ); assign led_out key_in; endmodule

UASRT(2)

UASRT参数配置 数据发送过程 1.双缓冲 当要发送三个数据 且是连续发送 第一个数据写入TDR寄存器 然后到移位寄存器发送&#xff08;一个一个bit的发送&#xff09;在第一个数据在移位寄存器发送的时候第二个数据就已经被写入TDR寄存器了等到第一个数据发送完第二个数据就进入…

电动工具直流调速电路是CMOS专用集成电路芯片GS069,电源电压范 围宽、功耗小、抗干扰能力强

GS069电动工具直流调速电路是CMOS专用集成电路&#xff0c;具有电源电压范 围宽、功耗小、抗干扰能力强等特点。通过外接电阻网络&#xff0c;改变与之相接 的VMOS 管的输出&#xff0c;达到控制电动工具转速的作用。该电路输出幅值宽&#xff0c; 频率变化小&#xff0c;占空比…

STM32单片机蓝牙APP LORA无线远程火灾报警温度烟雾监控系统

实践制作DIY- GC0145蓝牙APP LORA无线远程火灾报警 基于STM32单片机设计---蓝牙APP LORA无线远程火灾报警 二、功能介绍&#xff1a; 1个主机&#xff1a;STM32F103C系列单片机LCD1602显示器蜂鸣器 LORA无线模块3个按键&#xff08;设置、加、减&#xff09;HC-05蓝牙模块&…

STM32单片机GPS北斗无线防丢定位超出距离报警系统NRF24L01

实践制作DIY- GC0136-GPS北斗无线防丢定位超出距离报警系统 基于STM32单片机设计-GPS北斗无线防丢定位超出距离报警系统 二、功能介绍&#xff1a; 主机&#xff1a;STM32F103CxT6系列最小系统板OLED显示器NRF24L01无线通讯模块GPS北斗双模定位模块蜂鸣器距离加减2个按键1个模…

原子级操作快速自制modbus协议

原子级操作手把手搞懂modbus协议文章目录[toc]1 modbus协议基础概念1.1 使用场所1.2 主从协议站1.3 modbus帧描述1.4 数据模式1.5 modbus状态机2 modbus协议2.1 功能码2.2 公共功能码2.3 数据域格式3 modbus从站程序设计3.1 接口初始化3.2 数据处理部分查表法设置超时时间3.2 主…

STM32开发(8)----CubeMX配置串口通讯(中断方式和DMA方式)

CubeMX配置串口通讯&#xff08;中断方式和DMA方式&#xff09;前言一、中断方式1.CubeMX配置2.代码实现3.实验结果二、DMA方式1.CubeMX配置2.代码实现3.实验结果总结前言 本章继续介绍使用STM32CubeMX对串口进行配置的方法&#xff0c;串口通讯有三种方式&#xff1a;轮询&am…

STM32Cube高效开发教程<基础篇>(一)----概述

声明:本人水平有限,博客可能存在部分错误的地方,请广大读者谅解并向本人反馈错误。    本专栏博客参考《STM32Cube高效开发教程(基础篇)》,有意向的读者可以购买正版书籍辅助学习,本书籍由王维波老师、鄢志丹老师、王钊老师倾力打造,书籍内容干货满满。 一、 STM32系列…

【STM32-DSP库的使用】基于Keil5 + STM32CubeMX 手动添加、库添加方式

STM32-DSP库的使用 一.CMSIS-DSP1.1 DSP库简介1.2 支持的函数类别1.3 宏定义 二、操作2.1 STM32CubeMX 配置基本工程2.2 Lib库的方式实现(推荐)2.3 手动添加DSP文件&#xff08;可以下载官方最新库&#xff0c;功能齐全&#xff09; 三、MFCC测试DSP加速效果 为验证语音识别MFC…

硬件设计--stm32自动下载电路设计

1 参考博客&#xff1a; 1、Stm32 一键下载电路详解 2、启动模式&#xff0c;BOOT0和BOOT1详解 3、STM32自动ISP电路设计 4、STM32 USB接口 一键下载电路详解与过程分析 2 下载软件分享&#xff1a; 参考博客&#xff1a;FlyMcu - 用于STM32芯片ISP串口程序一键下载的免费软…

_nop_();的由来和作用

C语言中没有_nop_()函数。 在51C中一般包含在#include “intrins.h” 头文件中。 该函数是在51单片机中用的延时函数&#xff0c;表示执行一条没有什么意义的指令&#xff0c;延时一个指令周期&#xff0c;有的指令周期是两个或两个以上的机械周期&#xff0c;但是_nop_();指…

STM32H563烧录后无法擦除

STM32H563烧录后无法擦除&#xff0c;使用STM32CubeProgrammer连接后显示如下图所示。

stm32f4_奇怪的bug_串口数据错乱,一个串口收到另一个串口的数据

1、开发环境简介 芯片型号&#xff1a;stm32f407igt6 官方库函数&#xff1a;HAL库 2、bug现象描述和原因推测 使用了2个串口&#xff0c;一个是串口5-波特率115200&#xff0c;一个是串口4-波特率9600&#xff0c;但是串口4时不时会收到上一次发给串口5的数据。不是同一个串…

步进电机驱动器开发中遇到的问题和解决方法

在开发步进电机驱动器的时候经常遇到以下问题 电机在高速启动或停止时候 烧坏驱动芯片 如何解决&#xff1f;步进电机在低速时候抖动&#xff0c;如何解决&#xff1f;步进电机长时间运行 发热严重 如何解决&#xff1f;步进电机驱动芯片很容易烧坏如何解决&#xff1f;如何降…

KT148A语音芯片使用串口uart本控制的完整说明_包含硬件和指令举例

一、功能简介 KT148A肯定是支持串口的&#xff0c;有客户反馈使用一线还是不方便&#xff0c;比如一些大型的系统不适合有延时的操作&#xff0c;所以更加倾向于使用uart控制&#xff0c;这里我们也给出解决方案 延伸出来另外一个版本&#xff0c;KT158A 注意次版本芯片还是…

【STM32】ADC(模拟/数字转换)

一、ADC的简介 1.什么是ADC 1&#xff09;将【电信号】-->【电压】-->【数字量】 2&#xff09;ADC可以将引脚上连续变化的模拟电压转换为内存中存储的数字量&#xff0c;建立模拟电路到数字电路的桥梁。 3&#xff09;12位逐次逼近型ADC&#xff0c;1us转换时间&#xf…

I2C工作流程

FM33A0XX的I2C接口只用作主机&#xff0c;且不支持多主机&#xff0c;因此挂在总线上的其他设备都是从机。总线上总是由主机提供同步时钟SCL&#xff0c;SDA数据流方向可以是主机发送从机接收&#xff0c;或者从机发送主机接收。 数据发送流程 1、主机发起 START 时序 2、主机…

蓝桥杯物联网竞赛_STM32L071_2_继电器控制

CubeMX配置&#xff1a; Function.c及Function.h&#xff1a; #include "Function.h" #include "gpio.h" void Function_LD5_ON(void){HAL_GPIO_WritePin(LD5_GPIO_Port, LD5_Pin, GPIO_PIN_RESET); }void Function_LD5_OFF(void){HAL_GPIO_WritePin(LD5_…

STM32-通用定时器

通用定时器 通用定时器由一个可编程预分频器驱动的16位自动重新加载计数器组成。应用&#xff1a;测量输入的脉冲长度信号&#xff08;输入捕获&#xff09;、产生输出波形&#xff08;输出比较和PWM&#xff09;。 脉冲长度和波形周期可以从几微秒调制到几毫秒&#xff0c;使用…

Labview与STM32串口通讯--基于Simulink代码生成

程序功能&#xff1a;labview发送指令&#xff0c;当第一个和第三个数分别为0x55和0xAA时&#xff0c;开发板相应的指示灯分别会灭&#xff08;这里用的是正点原子的探索者&#xff0c;0x55对应DS0&#xff0c;0xAA对应DS1&#xff0c;需要提前通过STM32Cubemx配置底层环境&…

STM32 库函数 GPIO_SetBits、GPIO_ResetBits、GPIO_WriteBit、GPIO_Write 区别

问题&#xff1a;当我使用STM32库函数对 I/O 口进行赋值时&#xff0c;在头文件中发现有四个相关的函数可以做这个操作&#xff0c;那么它们有什么区别呢&#xff1f; 一、GPIO_SetBits //eg: GPIO_SetBits(GPIOA, GPIO_Pin_1 | GPIO_Pin_2);解释&#xff1a;置位(置1)选择的数…

STM32驱动步进电机(附原工程)

一、引言 本文旨在分享STM32对步进电机的驱动方法和代码工程&#xff08;文末附工程连接&#xff09;。初衷是我刚开始学者使用STM32驱动步进电机时&#xff0c;在CSDN上查阅了很多资料&#xff0c;好多都是只分享部分代码&#xff0c;有原工程的资源都需要付费&#xff01;&am…

STM-32:EXTI外部中断详解-对射式红外传感器计次/旋转编码器计次

目录一、中断系统1.1中断1.2中断优先级1.3中断嵌套二、STM32中断三、NVIC四、NVIC优先级分组五、EXTI简介六、EXTI基本结构七、外部中断配置7.1步骤7.2函数的调用八、程序代码8.1对射式红外传感器计次8.2旋转编码器计次一、中断系统 1.1中断 在主程序运行过程中&#xff0c;出…

stm32f407VET6 系统学习 day08 利用adc 模数转换 监控光敏电阻。

1. ADC 的知识 1.基本概念 &#xff1a; Analog-to-Digital Converter的缩写。指模/数转换器或者模拟/数字转换器。是指将连续变量的模拟信号转换为离散的数字信号的器件 。典型的模拟数字转换器将模拟信号转换为表示一定比例电压值的数字信号。 2.STM32F4x ADC特点 1. 可配…

STM32 学习笔记_9 定时器中断:编码器接口模式

TIM编码器接口 之前我们处理旋转编码器&#xff0c;是转一下中断一次&#xff0c;挺消耗资源的。 我们可以利用TIM的编码器功能&#xff0c;隔一段时间取一下旋转器值使得cnt或–&#xff0c;以此判断旋转位置以及计算速度&#xff0c;相比中断节约资源。相当于外接了一个有方…

学习STM32单片机,从菜鸟到牛人就是这么简单

我想说&#xff0c;为了学习单片机而去学习单片机的思路不对。 你问&#xff0c;如何系统地入门学习STM32&#xff1f; 本身就是一个错误的问题。假如你会使用8051 &#xff0c; 会写C语言&#xff0c;那么STM32本身并不需要刻意的学习。 你要考虑的是&#xff0c; 我可以用STM…

Stm32的时钟系统以及使用SysTick滴答定时器实现延时

前言 STM32的时钟系统由多个时钟源和时钟树组成时钟源包括主时钟源&#xff08;HSE&#xff09;、内部高速时钟源&#xff08;HSI&#xff09;、内部低速时钟源&#xff08;LSI&#xff09;和外部低速时钟源&#xff08;LSE&#xff09;。时钟树由多个时钟分频器和时钟门控器组…

已解决Error:Flash Download failed -“Cortex-M3”异常的正确解决方法,亲测有效!!!

已解决Error&#xff1a;Flash Download failed -“Cortex-M3”异常的正确解决方法&#xff0c;亲测有效&#xff01;&#xff01;&#xff01; 文章目录 报错问题解决思路解决方法交流 报错问题 Error&#xff1a;Flash Download failed -“Cortex-M3” 解决思路 对于Flash …

【STM32入门】2.2光线传感器控制蜂鸣器

1.接线 按图接线&#xff0c;正确的接好之后&#xff0c;光纤传感器应当是弱光亮“PWR-LED"&#xff0c;强光的时候”PWR-LED"和“DO-LED"两个灯都亮&#xff0c;如果不是这样的有两个方法调整或测试&#xff1a; 1.调整旋钮&#xff0c;可以调节光敏传感器的光…

瑞芯微RK3568开发:烧录过程

进入rk3568这款芯片的烧录模式共有3种方式&#xff0c;先讲需要准备的环境要求。 一、软硬件环境 1、配套sdk版本的驱动DriverAssitant_vx.x.x和RKDevTool_Release_vx.x&#xff0c;版本不对应可能无法烧录&#xff0c;建议直接在sdk压缩包里获取&#xff1b; 2、如果正确安…

STM32 启动文件选择

1. STM32F1xx 系列 &#xff08;F1&#xff09; STM32F100xB/C: startup_stm32f100xb.s STM32F100xD/E: startup_stm32f100xe.s STM32F101x6/8/B: startup_stm32f101x6.s, startup_stm32f101x8.s, startup_stm32f101xb.s STM32F101xE/F/G: startup_stm32f101xe.s, …

STM32储存器和总线构架

一、引言 本篇文章旨在介绍STM32小容量、中容量和大容量的储存器和系统构架&#xff0c;文中涉及到一些专有名词和概念较为抽象和陌生&#xff0c;建议读者能够查阅相关资料和知识加深了解。 二、正文 &#xff08;一&#xff09;、系统构架 在小容量、中容量和 大容量产品中…

J-Link不能连接目标MCU几点常见原因

J-Link是嵌入式软件最常用的工具之一&#xff0c;但是&#xff0c;在使用这个工具时&#xff0c;也会遇到各种各样的问题。 J-Link的连接 使用J-Link&#xff0c;首先第一步硬件连接&#xff0c;确认J-Link和PC机之间是否连接正常&#xff0c;并确认上位机能和 J-Link 建立正…

STM32——FSMC里边贼难理解的一个,就是地址移位终于搞懂了

https://blog.csdn.net/call_lkc/article/details/76168485 这篇文章讲的很详细&#xff0c;但是我终于理解了为啥地址右移了一位 我们经常看到这句 这个方括号是这样的 本来是这样的&#xff0c;HADDR【27&#xff1a;0】意思是有28跟线&#xff0c;【25&#xff1a;1】的意思…

f4串口系列1案例代码

mv用到串口2 从mv代码中找到。 32接收mv发来的数据用0x0a结束 mv.c #include "openmv_uart.h"#define END_CODE 0x0a u8 openmv_rx_data[Rx_Length]; u8 length0; u8 rx_flag0;void Openmv_Usart_Rx_Irq(u8 data) {openmv_rx_data[length]data;if(openmv_rx_data[le…

电赛校赛总结----一维板球系统【代码开源】

2022/4/21 搭建了整体的机械结构&#xff0c;最后因为经费问题&#xff0c;选择了用去年风力摆的架子去搭摄像头【openmv】&#xff0c;看当年的国赛题&#xff0c;选择的是ov7670&#xff0c;但我们讨论后觉得还是openmv的识别比较好,,下面的小球选用的是外径为3.2cm的水管&a…

BetaFlight统一硬件配置文件研读之dma命令

BetaFlight统一硬件配置文件研读之dma命令 1. 源由2. 代码分析2.1 cliDma2.2 showDma2.3 cliDmaopt 3. 实例分析4. 配置情况4.1 dma4.2 dma show4.3 dma device list4.4 dma pin list4.5 dma device id4.5.1 dma adc id4.5.2 dma TIMUP id4.5.3 dma pin id 4.6 dma device id s…

STM32F407/ hk32f030m 系统性能测试–dhrystone

STM32F407/ hk32f030m 系统性能测试–dhrystone 一.MCU 基本情况 CPU测试主要是dhrystone&#xff0c;DMIPS(Dhrystone Million Instructions executed Per Second)&#xff1a;Dhrystone是测量处理器运算能力的最常见基准程序之一&#xff0c;常用于处理器的整型运算性能的测…

好久之前看过的BOOT0与BOOT1设置问题

好久之前看过关于BOOT0与BOOT1问题&#xff0c;用于在不同地方启动&#xff0c;这回又碰到了 stm32的flash读保护了。。 用户闪存 内置的flash 掉电不易失。 SRAM 芯片的内部的RAM 就是所谓的内存 ROM 芯片内部的特定区域 不可改的 固化的 &#xff0c; 里面预置了Bootl…

蓝桥杯嵌入式第八课--EEPROM读写

前言E2PROM的读写主要是考察IIC的使用&#xff0c;但是在比赛当中I2C的各种驱动文件都是直接给出的&#xff0c;因此我们需要做的工作就是根据EEPROM的读写时序配出读写的函数来。EEPROM硬件连接图我们可以看到IIC的数据线&#xff08;已上拉&#xff09;有两路去处&#xff0c…

STM32配置ADC2(DMA)进行采集 DAC 输出-2

0.一定要先看上一节&#xff1a;STM32配置ADC2&#xff08;DMA&#xff09;进行采集 DAC 输出-2 1.实验目标 在上一节的基础上&#xff0c;我们把 DAC&#xff08;三角波&#xff09;给集成进来&#xff0c;实现按下按键输出三角波&#xff0c;通过串口发送数据给电脑&#x…

STM32中独立看门狗的配置

我自己使用的是独立看门狗由内部专门的 32Khz 低速时钟&#xff08;LSI&#xff09;驱动。主要自己查手册看LSI是多少就是多少。 LL库中的配置&#xff1a;void MX_IWDG_Init(void) { /* Enable APB0 indipendent Watchdog peripherals clock */LL_APB0_EnableClock(LL_APB0_P…

STM32的HAL库分析及使用

STM32的HAL库分析及使用 STM32的三种开发方式 通常新手在入门STM32的时候&#xff0c;首先都要先选择一种要用的开发方式&#xff0c;不同的开发方式会导致你编程的架构是完全不一样的。一般大多数都会选用标准库和HAL库&#xff0c;而极少部分人会通过直接配置寄存器进行开发…

FreeRTOS中的变量、指针前缀含义,`TickType_t`和`BaseType_t`

在FreeRTOS中&#xff0c;还有几个常用的指针前缀&#xff0c;包括&#xff1a; - pv&#xff1a;表示一个指向 void 类型的指针&#xff0c;通常表示一块未知类型的内存区域。 - px&#xff1a;表示一个指向某个类型的指针&#xff0c;通常表示一个对象或结构体的指针。 - …

rt-thread pwm 多通道

一通道pwm参考 https://blog.csdn.net/yangshengwei230612/article/details/128738351?spm1001.2014.3001.5501 以下主要是多通道与一通道的区别 芯片 stm32f407rgt6 1、配置PWM设备驱动相关宏定义 添加PWM宏定义 #define BSP_USING_PWM8 #define BSP_USING_PWM8_CH1 #d…

STM32 EXTI外部中断

本文代码使用 HAL 库。 文章目录前言一、什么是外部中断&#xff1f;二、外部中断中断线三、STM32F103的引脚复用四、相关函数&#xff1a;总结前言 一、什么是外部中断&#xff1f; 外部中断 是单片机实时地处理外部事件的一种内部机制。当某种外部事件发生时&#xff0c;单片…

基于单片机的农业大棚(论文+源码)

1. 系统设计 采用STM32单片机为核心&#xff0c;结合串口通信模块&#xff0c;温湿度传感器&#xff0c;光照传感器&#xff0c;土壤湿度传感器&#xff0c;LED灯等硬件设备来构成整个控制系统。系统可以实现环境的温湿度检测&#xff0c;土壤的湿度检测&#xff0c;光照强度检…

新手必看!!附源码!!STM32通用定时器输出PWM

一、什么是PWM? PWM&#xff08;脉冲宽度调制&#xff09;是一种用于控制电子设备的技术。它通过调整信号的脉冲宽度来控制电压的平均值。PWM常用于调节电机速度、控制LED亮度、产生模拟信号等应用。 二、PWM的原理 PWM的基本原理是通过以一定频率产生的脉冲信号&#xff0…

蓝桥杯嵌入式第二篇配置按键

文章目录前言一、原理图查看二、cubeMX配置三、代码讲解(使用按键控制LED灯的开关)四、HAL_Delay的内部实现五.遗留的问题总结前言 点完灯后接下来我们就开始按键的学习了&#xff0c;这也是很简单的&#xff0c;大家不用担心。 一、原理图查看 可以看到板子上面是有4个按键…

#stm32整理(一)flash读写

以这篇未开始我将进行stm32学习整理为期一个月左右完成stm32知识学习整理内容顺序没有一定之规写到哪想到哪想到哪写到哪&#xff0c;主要是扫除自己知识上的盲区完成一些基本外设操作。 以stm32f07为例子进行flash读写操作 stm32flash简介 参考资料正点原子和野火开发手册 …

STM32--USART串口

文章目录 通信接口串口通信硬件电路电平标准参数时序 USART主要特性框图 数据帧发送器 波特率发生器SWART串口发送与接收工程串口收发数据包 通信接口 通信接口是指连接中央处理器&#xff08;CPU&#xff09;和标准通信子系统之间的接口&#xff0c;用于实现数据和控制信息在不…

在 SRAM 中运行 STM32 程序

在 SRAM 中运行 STM32 程序 背景 听说 STM32 的 FLASH 只能擦写 10000 次&#xff0c;一个开发板就算 1 天擦写 10 次 10000/10/3652.73972602739726&#xff0c;那岂不是一个开发板用两年之后芯片就废了&#xff0c;好方啊&#xff0c;有没有 (其实如果你能做到 10000 次擦写…

STM32开发(19)----CubeMX配置PWR电源管理

CubeMX配置PWR电源管理前言一、什么是PWR&#xff1f;1.电源系统2.电源监控器3.电源管理二、实验过程1.STM32CubeMX配置2.代码实现SLEEP_MODE模式实验结果STOP_MODE模式实验结果STANDBY_MODE模式总结前言 本章介绍使用STM32CubeMX对PWR进行配置的方法&#xff0c;PWR的原理、概…

stm32外设-RCC

0. 写在最前 本栏目笔记都是基于stm32F10x 1. RCC简介 RCC是Reset and Clock Control (复位和时钟控制)的缩写&#xff0c;它是STM32内部的一个重要外设&#xff0c;负责管理各种时钟源和时钟分频&#xff0c;以及为各个外设提供时钟使能。RCC模块可以通过寄存器操作或者库函数…

【stm32学习笔记之一】跑马灯实验(基于库函数)

1.GPIO的基本知识 4种输入模式&#xff1a;输入浮空、输入上拉、输入下拉、模拟输入。 4种输出模式&#xff1a;开漏输出(上拉或者下拉&#xff09;、开漏复用功能(上拉或者下拉&#xff09;、推挽式输出(上拉或者下拉&#xff09;、推挽式复用功能(上拉或者下拉&#xff09;…

STM32-移植RTT

目录 Cubemx引入RTT资源新建工程生成工程 时钟选择选单片机引脚引脚搜索快速选中取消引脚选中引脚命名IO普通模式设置 串口串口基本配置串口DMA ADC采集ADC基本应用ADC_DMA RTT-shell指令定义RTTCOM调试串口J-Link RTT调试 教程shell指令RTT外设驱动使用1--串口添加 STM32_pwm …

如何进行单病种质控上报管理

过程质量管理发展历程 单病种质量管理兴起之初&#xff0c;医疗机构多强调致残率、致死率、平均住院日、治愈好转率等结果性指标。这些指标主观性强&#xff0c;且为事后管理&#xff0c;无法及时发现问题&#xff0c;具有滞后性。 《卫生部办公厅关于开展单病种质量管理控制…

基于GPRS的汽车碰撞自动报警系统(论文+源码)

1. 系统设计 本次基于GPRS的汽车碰撞自动报警系统的设计中&#xff0c;其主要的目标功能如下&#xff1a;1、实时检测当前的GPS精度和纬度坐标&#xff1b;2.当发生碰撞后系统自动将当前的信息通过GPRS数据发送到远端数据进行报警&#xff1b;3、系统在碰撞后一方面进行本地报警…

STM32-SPI1控制AD7705(Sigma-Delta-ADC芯片)

STM32-SPI1控制AD7705&#xff08;Sigma-Delta-ADC芯片&#xff09; 原理图手册说明功能方框图引脚功能 片内寄存器通信寄存器&#xff08;RS2、RS1、RS00、0、0&#xff09;设置寄存器时钟寄存器数据寄存器&#xff08;RS2、RS1、RS00、1、1&#xff09;测试寄存器&#xff08…

STM32F103C6 IO中断之protues仿真

配置IO口,PA0配置为下降沿中断&#xff0c;事件线为EXTI0,PB0为推换购 void IO_Config(void) {//配置IO口RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA | RCC_APB2Periph_GPIOB,ENABLE);//IO口时钟使能GPIO_InitTypeDef GPIO_InitStructure; //定义结构体GPIO_InitStructur…

stm32下载代码到单片机上需要调节BOOT为什么模式

一、BOOT模式选择图解 二、BOOT模式介绍 所谓启动&#xff0c;一般来说就是指下好程序后&#xff0c;重启芯片时&#xff0c;SYSCLK的第4个上升沿&#xff0c;BOOT引脚的值将被锁存。用户可以通过设置BOOT1和BOOT0引脚的状态&#xff0c;来选择在复位后的启动模式。 A. Mai…

【Proteus仿真】【STM32单片机】感应水龙头设计

文章目录 一、功能简介二、软件设计三、实验现象联系作者 一、功能简介 本项目使用Proteus8仿真STM32单片机控制器&#xff0c;使用LCD1602液晶模块、HCSR04超声波等。 主要功能&#xff1a; 系统运行后&#xff0c;LCD1602显示超声波模块检测的距离&#xff0c;若检测距离小…

【正点原子STM32连载】第三十九章 触摸屏实验 摘自【正点原子】STM32F103 战舰开发指南V1.2

1&#xff09;实验平台&#xff1a;正点原子stm32f103战舰开发板V4 2&#xff09;平台购买地址&#xff1a;https://detail.tmall.com/item.htm?id609294757420 3&#xff09;全套实验源码手册视频下载地址&#xff1a; http://www.openedv.com/thread-340252-1-1.html# 第三…

STM32 JLINK感叹号问题解决

JLink驱动设备管理器中显示黄色感叹号_设备管理器找不到jlink驱动_朱嘉鼎的博客-CSDN博客 简单的讲手动更新驱动->选择 C:\Keil\ARM\Segger\USBDriver\x64 目录下&#xff0c;更新

STM32+USB3300复位枚举异常的问题

关键字&#xff1a;STM32F4&#xff0c;STM32H7&#xff0c;USB3300&#xff0c;USBHS&#xff0c;Reset复位 F4和H7用的都是DWC2的USBIP&#xff0c;我的板子上3300单片机工作的很好&#xff0c;插入枚举一切正常&#xff0c;但是设备收到上位机的复位命令后&#xff0c;单片…

STC51单片机-中断控制LED-物联网应用系统设计项目开发

目录一、说明二、重点三、实现四、下载一、说明 单片机中“中断”处理主要是指单片机暂停当前主程序的执行&#xff0c;而去执行更重要或需急迫处理的事件请求的处理程序&#xff0c;处理完成后&#xff0c;再回到主程序暂停处继续执行。这个事件叫“中断源”&#xff0c;发出…

一文读懂舵机工作原理并运用(附代码)

杂谈 自己拿到这一模块是也挺迷茫的&#xff0c;后来看了一些资料&#xff0c;也渐渐积累了些自己的理解&#xff0c;很多博文并没有将舵机讲明白&#xff0c;至少你待把PWM与角度如何换算讲清楚吧&#xff0c;所以笔者写这篇博文供大家学习掌握。 如果你拿到一个舵机&#x…

STM32 HAL库开发——入门篇(2):定时器

目录 一、TIMER定时器概述 1.1 软件定时原理 1.2 定时器定时原理 1.3 STM32定时器分类 1.4 STM32定时器特性表 1.5 STM32基本、通用、高级定时器功能整体的区别 二、基本定时器 2.1 基本定时器简介 2.3 STM32定时器计数模式及溢出条件 2.4 定时器中断实验相关寄存器 …

KEIL5中头文件路劲包含问题

方式1&#xff1a;1.Keil中添加头文件相对路劲的方法在c/c配置中添加路劲&#xff0c;最终是将添加的绝对路径转化为相对路径&#xff1b;注意&#xff1a;相对路径的当前位置指.uvproj文件所在位置在C/C配置中的include paths”中添加工程所用的所有头文件的路径&#xff1b;2…

数字电路和模拟电路-1基础知识

前言&#xff1a;搞开发设计不是像搞开发板那样&#xff0c;什么电路都给你搭好了&#xff0c;然后再写程序&#xff0c;去控制它&#xff0c;那么这种能力只能说你能玩好它。项目开发是必须需要硬件支持的&#xff0c;如果你连硬件都看不懂&#xff0c;就别说团队合作和软件设…

STM32G070RBT6基于Arduino串口的使用

STM32G070RBT6基于Arduino串口的使用&#x1f4d3;STM32G070RBT6串口资源介绍 ✨STM32G070RBT6总共有4个USART资源。 Serial1 for USART1 Serial2 for USART2 Serial3 for USART3 Serial4 for UART4&#x1f516;默认情况下&#xff0c;只有一个Serialx实例映射到通用Serial名。…

32位机虚拟内存地址最大0xFFFFFFFF是怎么得出来的?内存大小与地址的对应关系以及计算方法?

基础 1G1024MB1024KB1024^3B1B8bit一个内存单元对应一个字节&#xff0c;8个比特位为一个内存地址&#xff0c;内存地址加1就是相差一个字节计算机内存的最小单位是位bit&#xff0c;基本单位是字节Byte 公式: 内存容量末地址-⾸地址1 stm32 32位 内存4G 寻址空间0x0000 0…

TIM编码器接口

一、知识点 1、Encoder Interface 编码器接口的工作流程 编码器接口可接收增量&#xff08;正交&#xff09;编码器的信号&#xff0c;根据编码器旋转产生的正交信号脉冲&#xff0c;自动控制CNT自增或自减&#xff0c;从而指示编码器的位置、旋转方向和旋转速度 2、编码器接口…

STM32单片机蓝牙APP自动伸缩遮阳棚雨伞雨滴角度温度光强控制

实践制作DIY- GC0130-蓝牙APP自动伸缩遮阳棚 一、功能说明&#xff1a; 基于STM32单片机设计-蓝牙APP自动伸缩遮阳棚 二、功能介绍&#xff1a; 基于STM32F103C系列&#xff0c;LCD1602显示器&#xff0c;光敏电阻采集光强&#xff0c;雨滴传感器&#xff0c;ULN2003控制步进…

STM32 bootloader简单实现的验证

目录 背景知识 STM32的启动模式 Flash memory的大小 实验验证 分区分配 bootloader代码 systeminit 背景知识 STM32的启动模式 STM32有三种启动模式, 这里验证的bootloader是通过Flash memory启动方式, 使用STM32内置的Flash,其首地址是0x08000000&#xff0c;一般我们…

编译器原理简介(以Cortex-M3为例)

在"keil根目录\ARM\ARMCC\bin"下可以找到如下文件&#xff1a; 他们就是编译器内核&#xff0c;将工程代码转换成二进制文件&#xff0c;烧写进MCU中执行。 目录 C与汇编 典型的开发流程 编译工具报错举例 C与汇编 在CM3上编程&#xff0c;开发人员既可以使用C也…

SPI通讯简介

一、基本概念 SPI是串行外设接口(Serial Peripheral Interface)的缩写&#xff0c;是一种高速的&#xff0c;全双工&#xff0c;同步的通信总线&#xff0c;主要应用在EEPROM,FLASH,实时时钟&#xff0c;AD转换器&#xff0c;多MCU间通讯等等&#xff0c;SPI端口可以在多主器件…

C++ 编译报错“jump to label”

C 编译报错“jump to label” 分析解决方法如何在Eclipse中添加编译选项 分析 void func() {int a 0;a;goto label; label:int b 0;return; }这样的代码是有问题的&#xff0c;因为C编译规则中&#xff0c;不允许goto后面还有新的变量声明。 解决方法 将所有变量声明放到第…

x86-64机器代码对程序员隐藏的处理器状态

# 微信搜索公众号Corux&#xff0c;和我交朋友&#xff01; x86-64的机器代码和原始的C代码差别非常大&#xff0c;一些通常对C语言程序员隐藏的处理器状态都是可见的&#xff1a; &#x1f53b;程序计数器&#xff08;通常称为PC&#xff0c;在x86-64中用%rip表示&#xff0…

【单片机】STM32单片机频率计程序,外部脉冲计数程序,基于脉冲计数的频率计程序,STM32F103

文章目录 定时器外部脉冲计数功能程序实现TIM1 定时一秒钟中断TIM2 外部脉冲计数配置TIM3 PWM产生总程序 定时器外部脉冲计数功能 两种方法用于在单片机中实现频率计的功能。 第一种方法是通过定时器来衡量信号的周期&#xff0c;然后将周期转换为频率。在这种方法中&#xf…

正点原子嵌入式linux驱动开发——LED驱动开发

在上一篇笔记中&#xff0c;详细的讲解了字符设备驱动开发步骤&#xff0c;并且用一个虚拟的chrdevbase设备为例完成了第一个字符设备驱动的开发。本章就开始编写第一个真正的Linux字符设备驱动。在正点原子STM32MP157开发板上有一个LED灯&#xff0c;本章就学习一下如何编写Li…

STM32cubemx定时外部模式测量10M以上频率

STM32cubemx定时外部模式测量10M以上频率 本文讲解利用定时器的外部时钟功能&#xff0c;巧妙测量高频外部信号频率。范围可以到高达30M以上。 所需工具&#xff1a; 开发板:STM32F103RCT6STM32CubeMXIDE: Keil-MDK 文章目录 STM32cubemx定时外部模式测量10M以上频率原理讲解…

【工具使用】Keil5软件使用-进阶调试篇

一、概述 本文面向已经懂得软件基本操作的职业老手&#xff0c;如果是未使用过该软件的小鲜肉&#xff0c;请移步基础篇。这里以STM32芯片为例对工具进行讲解&#xff0c;其他品牌的芯片在调试方面上可能存在差异。 二、软件说明 Keil提供了包括C编译器、宏汇编、链接器、库管…

STM32智能送药小车(一):STM32cubemx的配置

目录 一.首先用Cubemx对STM32F407VE进行配置 &#xff08;1&#xff09;时钟配置 &#xff08;2&#xff09;配置oled &#xff08;3&#xff09;配置按键 &#xff08;4&#xff09;配置PWM电机 一.首先用Cubemx对STM32F407VE进行配置 &#xff08;1&#xff09;时钟配置 …

stm32的中断复习

(https://img-blog.csdnimg.cn/4fa918bc1ebb41118410bc9a41d4b296.jpg)

Simulink 自动代码生成电机控制:STM32 Encoder编码器使用总结

目录 Encoder 原理 STM32 Encoder 计数原理 模型仿真 模拟Encoder 基于Encoder计算角度和速度 关于启动的仿真 代码生成 运行演示 总结 总结一下基于STM32的Encoder接口的电机运行&#xff0c;相应的仿真和实验都是基于一个1024脉冲的增量式光电编码器&#xff0c;关于…

(失败)尝试将eCOS移植到stm32h743vi上

目录背景过程感受背景 我的学长在一家有名的AI公司上班&#xff0c;他们希望能将OpenCV移植到一款低成本的CPU上&#xff0c;但他们对于硬件完全不懂&#xff0c;于是学长希望我尝试一下&#xff08;虽然我那时也还不懂操作系统和CPU间的关系&#xff09;。选择stm32h743vi这个…

沁恒CH32V307使用记录:SPI基础使用

文章目录目的基础说明使用演示其它补充总结目的 SPI是单片机中比较常用的一个功能。这篇文章将对CH32V307中相关内容进行说明。 本文使用沁恒官方的开发板 &#xff08;CH32V307-EVT-R1沁恒RISC-V模块MCU赤兔评估板&#xff09; 进行演示。 基础说明 SPI的基础概念见下面文…

Stm32_标准库_16_串口蓝牙模块_手机与蓝牙模块通信_手机传入信息能对芯片时间日期进行更改

实现了手机发送信息给蓝牙模块&#xff0c;程序对数据进行分析拆解&#xff0c;并更新自身数据 main.c: #include "stm32f10x.h" // Device header #include "Delay.h" #include "OLED.h" #include "Serial.h" #include "Ti…

RT-Thread初识学习-01

1. RT-Thread 简介 1.1 RT-Thread 是什么 据不完全统计&#xff0c;世界有成千上万个 RTOS&#xff08;Real-time operating system&#xff0c;实时操作系统&#xff09;&#xff0c;RT-Thread 就是其中一个优秀的作品。 RT-Thread 内核的第一个版本是熊谱翔先生在 2006 年…

STM32HAL库1:认识时钟树(STM32F407)

目录 1.认识时钟树 1.1什么时钟 1.2认识时钟树 2.配置系统时钟 2.1系统适中的配置过程 2.2外设时钟使能和失能 2.3sys_stm32_clock_init函数&#xff08;F407&#xff09; 2.4SystemClock_Config函数&#xff08;F407&#xff09; [F1] [F4] 1.认识时钟树 1.1什么时钟 …

TencentOS tiny 移植到STM32F103教程

一、移植前的准备工作1. STM32的裸机工程模板这个可以自己创建&#xff08;创建过程参考之前的STM32裸机工程也有工程网盘在上面&#xff09;2.下载TencentOS tiny 源码TencentOS tiny的源码可从TencentOS tiny GitHub仓库地址https://github.com/Tencent/TencentOS-tiny下载&a…

基于单片机的家庭防盗报警系统的设计与实现_kaic

基于单片机的家庭防盗报警系统 专业&#xff1a;物联网工程 摘要&#xff1a;本论文研究的是将AT89C52单片机芯片作为核心元器件的防盗报警系统,该系统除了具有直接报警的功能外,还额外增加了布防和红外感应的功能。和市场上的其他各类防盗报警器相比,该设计的不同之处在于它所…

stm32串口中断流程

NVIC简介 内嵌向量中断控制器:Nested Vectored Interrupt Controller (NVIC) 硬件发生中断后,相关电信号会触发内核跳转中断向量表查找中断函数, 中断向量名查于汇编文件startup_stm32xxx.s文件 从本质上说这里就是数字电路的组合形成的一系列行为&#xff0c;当相关中断的寄存…

Ethercat学习-电机调试问题总结

文章目录问题1&#xff1a;初始化不进入OP状态问题2&#xff1a;PDO通讯数据不对主站硬件&#xff1a;STM32F405LAN8720A主站软件&#xff1a;SOEM 问题1&#xff1a;初始化不进入OP状态 现象描述&#xff1a;主站初始化过程中&#xff0c;打印信息显示状态一直在safe-op&…

STM32感应开关盖垃圾桶

目录 项目需求 项目框图 ​编辑 硬件清单 sg90舵机介绍及实战 sg90舵机介绍 角度控制 SG90舵机编程实现 超声波传感器介绍及实战 超声波传感器介绍 超声波编程实战 项目设计及实现 项目需求 检测靠近时&#xff0c;垃圾桶自动开盖并伴随滴一声&#xff0c;2秒后关盖…

STM32CubeMX配置I2C通讯

1.如上图所示点击New Project 2.如上图所示选择自己所开发的新品最后双击芯片型号 3.配置RCC&#xff0c;我的芯片使用的是外部高速晶振。这里如图所选。 4.配置一下串口 5.配置I2C 6.根据自己的硬件选择时钟源和主频 6.①填写项目名②选择项目路径③选择开发环境④获取代码 …

光敏传感器实验-传感器原理及应用实验

目录一、实验实训主要内容二、实验实训方法、过程步骤三、实验实训结果与分析四、讨论小结一、实验实训主要内容 学习光敏传感器的使用方法&#xff0c;了解光敏传感器的基本实验原理和实际应用&#xff0c;熟练掌握光敏传感器实验的操作步骤&#xff0c;并与实际应用相结合。…

C语言的条件语句在STM32中的应用

此程序是对串口接收到的数据进行的保存&#xff0c;来源于正点原子。 void USART1_IRQHandler(void) //串口1中断服务程序 { u8 Res; if(USART_GetITStatus(USART1, USART_IT_RXNE) ! RESET) //接收中断(接收到的数据必须是0x0d 0x0a结尾){Res USART_ReceiveData(USART1); /…

STC51单片机-实验开发装置仿真-物联网应用系统设计

目录一、说明二、重点三、实现四、下载此项目是作为单片机应用系统设计&#xff0c;通过STC单片机实验开发装置的结构、原理电路、以及其所能实现的功能和应用&#xff0c;建立单片机实现多功能综合应用系统的整体概念&#xff0c;打下实际工程项目设计、开发、应用的较好基础。…

DAY 4 ARM

头文件 #ifndef LED_H #define LED_H //宏定义一个使能端 #define RCC_AHB4_ENSET (*(volatile unsigned int *)0x50000A28) //结构体封装 typedef struct{ volatile unsigned int MODER; volatile unsigned int OTYPER; volatile unsigned int OSPEED; volatile unsigned i…

STM32开发(17)----CubeMX配置CRC

CubeMX配置CRC前言一、什么是CRC&#xff1f;二、实验过程1.STM32CubeMX配置2.代码实现重载printf3.实验结果总结前言 本章介绍使用STM32CubeMX对CRC进行配置的方法&#xff0c;CRC的目的是保证数据的完整性&#xff0c;所有的STM32芯片都内置了一个硬件的CRC计算模块&#xf…

FreeRTOS源码详解与应用开发全部资料

FreeRTOS源码详解与应用开发全部资料 最近找这个资料的人比较多&#xff0c;好心的小编就来分享一些关于FreeRTOS的详解和开发资料比较多&#xff0c;文件比较多&#xff0c;分享部分RTOS低功耗设计原理和实现的部分&#xff0c;有兴趣的小伙伴可以到闯客网技术论坛下载&#x…

stm32串口通信调试总结

本文分为两部分&#xff0c;即”以USART1为例的串口初始化”和“调试中遇到的问题” 以USART1为例的串口初始化 本程序调用了stm32自带的固件库&#xff0c;工程中具体的文件见下图&#xff1a; 一.&#xff27;&#xff30;&#xff29;&#xff2f;及USART1初始化结构体…

STM32单片机(六)TIM定时器 -> 第八节:TIM编码器练习(编码器接口测速)

❤️ 专栏简介&#xff1a;本专栏记录了从零学习单片机的过程&#xff0c;其中包括51单片机和STM32单片机两部分&#xff1b;建议先学习51单片机&#xff0c;其是STM32等高级单片机的基础&#xff1b;这样再学习STM32时才能融会贯通。 ☀️ 专栏适用人群 &#xff1a;适用于想要…

stm32f103rct6系统结构框图

一、其中&#xff0c;APB1总线和APB2总线的区别有&#xff1a; 1、时钟频率&#xff1a; APB1是低速总线&#xff08;一般为36M&#xff09;&#xff0c;这意味着APB1总线上的外设运行在较低的时钟速度下&#xff0c;适合处理一些低速外设&#xff0c;如USART、I2C等。 APB2…

【STM32G431RBTx】备战蓝桥杯嵌入式→决赛试题→第十三届

文章目录 前言一、题目二、模块初始化三、代码实现interrupt.h:interrupt.c:main.h:main.c: 四、完成效果五、总结 前言 无 一、题目 二、模块初始化 1.LCD这里不用配置&#xff0c;直接使用提供的资源包就行 2.ADC:开启ADCsingle-ended 3.LED:开启PC8-15,PD2输出模式就行了…

stm32中滴答定时器与普通定时器的区别

1、两者在单片机中的位置不一样 滴答定时器在内核上&#xff0c;普通定时器在外设上。 由于位置不同&#xff0c;滴答定时器的程序可以移植到所有相同内核的芯片上&#xff0c;但普通定时器的程序却不可以。 2、两者的中断优先级不一样 滴答定时器优先级高&#xff0c;普通定…

嵌入式 IIC(I2C)协议

目录 一、IIC&#xff08;I2C&#xff09;的介绍 二、工作原理 三、IIC总线协议 四、IIC数据的发送和接收 数据的发送过程 2.数据的接收过程 一、IIC&#xff08;I2C&#xff09;的介绍 IIC&#xff08;I2C&#xff09;总线&#xff1a;是Philips公司推出的串行总线标准&…

【STM32】cmsis-dap调试器-OpenOCD功能集成进CubeIDE中

前言 被自己买的Jlink真是要整烦了 一下连不上&#xff0c;一下固件掉升级&#xff0c;一下说是D版不给调试 于是乎决定&#xff0c;我买了个CMSIS-DAP调试器&#xff0c;决定放弃JLink这等#$%^&货… CMSIS-DAP 调试器 这个是开源调试器&#xff0c;硬件软件开源&#x…

嵌入式开发常用的三种通信协议串口通信、SPI和IIC

常用的三种通信协议串口通信、SPI和IIC 文章目录常用的三种通信协议串口通信、SPI和IIC一、通信分类1.1.同步通信和异步通信1.2.单工通信、半双工通信和全双工通信1.3.串行通信与并行通信二、串口通信2.1.UART2.2.RS232通信2.3.RS485通信三、SPI通信四、IIC通信一、通信分类 1…

STM32定时器Timer(PWM呼吸灯)

目录 定时器介绍 定时器工作原理&#xff1a; 定时器分类&#xff1a; STM32F103C8T6定时器资源&#xff1a; ​编辑 通用定时器介绍&#xff1a; 定时器计数模式&#xff1a; 定时器时钟源&#xff1a; ​编辑 定时器溢出时间计算公式&#xff1a; 定时器中断实验…

【STM32】【HAL库】遥控关灯0 概述

相关连接 【STM32】【HAL库】遥控关灯0 概述 【STM32】【HAL库】遥控关灯1主机 【STM32】【HAL库】遥控关灯2 分机 【STM32】【HAL库】遥控关灯3 遥控器 需求 家里有几个房间,开关距离床都挺远的 睡觉想要关灯的时候需要下床 因此设计了本次项目 需要满足以下要求: 可以控…

FPGA(主)与STM32(从)SPI通信

一、概述 主要实现了FPGA向STM32快速发送数据&#xff08;比较稳定&#xff09;&#xff0c;至于STM32发送过来的数据&#xff0c;大概率还是处于丢位状态。但因为我主要是要完成一个FPGA这边持续向STM32的发送&#xff0c;所以我也没仔细去研究如何实现双方通信更稳定&#xf…

STM32F429 HAL库 DAC+DMA+TIM6外部触发产生波形

DAC配置 DAC_HandleTypeDef DAC1_Handler;//DAC句柄 void DAC_Timer_Init(u16 arr,u16 psc);//初始化DAC void DAC1_Init(void) {DAC_ChannelConfTypeDef DACCH1_Config;DAC1_Handler.InstanceDAC;HAL_DAC_Init(&DAC1_Handler); //初始化DACDACCH1_Config…

20.sdram--搬砖

RAM,SRAM,SDRAM工作原理&#xff08;很长&#xff09;_phenixyf的专栏-CSDN博客_ram和sram SDRAM详细介绍_caihaitao2000的博客-CSDN博客_sdram结构

STM32F4VGT6-DISCOVERY:uart1驱动

对于这款板子&#xff0c;官方并没有提供串口例程&#xff0c;只能自行添加。 一、PA9/PA10复用成串口1功能不可用 驱动测试代码如下&#xff1a; main.c: #include "main.h" #include <stdio.h>void usart1_init(void) {GPIO_InitTypeDef GPIO_InitStruct…

核心板与模块连接问题

今天测试舵机与步进电机&#xff0c;使用外部电源供电&#xff0c;由于核心板与舵机没共GND&#xff0c;在程序正常、模块未坏的情况下&#xff0c;舵机根本不动&#xff0c;我竟然没发现&#xff08;可能是线太多了&#xff09;.解决办法&#xff1a;具体操作可见下面这篇博客…

STM32单片机蓝牙APP智能急救手表跌倒报警心率报警MAX30102

实践制作DIY- GC0083-智能急救手表 一、功能说明&#xff1a; 基于STM32单片机设计-智能急救手表 功能介绍&#xff1a; STM32F103C系列最小系统板OLED显示器MAX30102心率传感器蜂鸣器ADXL345角度模块DS1302时钟芯片HC05蓝牙模块4个按键 1.单片机采集MAX30102心率传感器获取…

J-LINK J-FLASH 下载STM32单片机程序使用教程

J-LINK J-FLASH 下载STM32单片机程序使用教程 Chapter1 J-LINK J-FLASH 下载STM32单片机程序使用教程1.安装提供的 JLINK驱动程序2. 点击打开 J-Flash V7.223.点击 create a new project.&#xff08;使用后可以在软件菜单File保存这个烧写工程&#xff0c;后续直接打开使用即可…

中断方式的数据接收2

Echo实验 回忆之前的实验因为数据处理的过程可以瞬间完成所以可以把数据处理的操作放在中断服务函数中执行 但是数据处理要是时间过长就将数据缓存处理 当使用中断方式接收数据的时候 一般有两种方式 数据处理的时间较短可放在中断服务函数内处理&#xff08;就地处理&#…

IO进程——IO进程的概念及知识点(嵌入式学习)

IO进程概念及知识点什么是IO直观层面的角度计算机架构的角度编程的角度IO的工作内容IO的分类标准IO与文件IO阻塞和非阻塞IO异步和同步IO什么是进程进程的特征Linux进程结构进程的三种基本状态进程和程序的区别什么是IO IO&#xff1a;input/output 输入输出&#xff08;文件&a…

嵌入式硬件电路设计的基本技巧

目录 1 分模块 2 标注关键参数 3 电阻/电容/电感/磁珠的注释 4 可维修性 5 BOM表归一化 6 电源和地的符号 7 测试点 8 网络标号 9 容错性/兼容性 10 NC、NF 11 版本变更 12 悬空引脚 13 可扩展性 14 防呆 15 信号的流向 16 PCB走线建议 17 不使用\表示取反 不…

最详细STM32,cubeMX 按键点亮 led

这篇文章将详细介绍 如何在 stm32103 板子上使用 按键 点亮一个LED. 文章目录 前言一、如何控制按键&#xff1f;为什么按键要接上拉电阻或者下拉电阻呢&#xff1f; 二、cubeMX配置工程自动生成代码解析 三、读取引脚电平函数四、按键为什么要消抖如何消除消抖 五、实现按键控…

【博弈论】【第一章】博弈论导论

博弈论导论 【例题】选择数字【例题】巴什博弈【例题】射手博弈博弈论的基本概念&#xff1a;参与人战略行动信息支付函数【例题】分100元 课程概述&#xff1a; 【例题】选择数字 两个参与人A和B&#xff0c;轮流选择[3,4,5,6,7,8,9]中的一个整数&#xff08;可重复)。当累计…

STM32——08-STM32感应开关盖垃圾桶

项目二&#xff1a;感应开关盖垃圾桶 项目需求 检测靠近时&#xff0c;垃圾桶自动开盖并伴随滴一声&#xff0c; 2 秒后关盖 发生震动时&#xff0c;垃圾桶自动开盖并伴随滴一声&#xff0c; 2 秒后关盖 按下按键时&#xff0c;垃圾桶自动开盖并伴随滴一声&#xff0c; 2 秒后…

STC51单片机-控制LED闪亮的仿真-物联网应用系统设计

目录一、说明二、重点三、实现四、下载此项目是作为单片机应用系统设计&#xff0c;通过STC单片机实验开发装置的结构、原理电路、以及其所能实现的功能和应用&#xff0c;建立单片机实现多功能综合应用系统的整体概念&#xff0c;打下实际工程项目设计、开发、应用的较好基础。…

MS(mbed l432KC)-->速通9个lab详细解析[6,7,8]

目录 Exercise6 如何使用 Exercise7 Exercise8 Exercise6 本次exercise6其实就是引入了模拟输出(AnalogOut)的概念。到现在,我们已经能够非常熟练的使用数字输出(DigitalOut),并且我们知道当我们给数字输出引脚赋值为1时,引脚输出3.3v左右电压,当我给数字引脚赋值…

通用输入输出端口GPIO(HAL库)

什么是GPIO 定义&#xff1a; GPIO是通用输入输出端口的简称&#xff0c;简单来说就是STM32可控制的引脚STM32芯片的GPIO引脚与外部设备连接起来&#xff0c;从而实现与外部通讯、控制以及数据采集的功能。 简单来说我们可以控制GPIO引脚的电平变化&#xff0c;达到我们的各种…

STM32G431-基于HAL库(第十四届蓝桥杯嵌入式模拟题2)

文章目录前言一、CubeMX配置(第十四届模拟题2完整版)二、代码相关定义、声明1.函数声明2.宏定义3.变量定义三、主要函数1.按键扫描2.各参数控制3.LCD显示4.输出信号改变5.串口接收6.Main函数四、实验结果1.数据页12.数据页23.输出频率4.串口数据五、查漏补缺&#xff01;六、源…

GPIO口输出与输入模式的理解

问题&#xff1f;看GPIO的结构图&#xff0c;发现&#xff1a; 上拉输入电流是从引脚流入外部 下来输入电流是从引脚流进芯片内部 推挽输出推模式电流是从引脚流入外部 推挽输出挽模式电流是从外部流入内部 输入输出模式都有电流流入流出&#xff0c;为什么还要分为输入输出模…

【CAN卡通信的下位机-STM32cubeIDE-hal库+STMF1xx和STMF4xx+数据发送和接收+轮询接收方式+基础样例(1)】

【CAN卡通信的下位机-STM32cubeIDE-hal库数据发送和接收轮询接收方式基础样例1】 1、概述2、实验环境3、自我总结与提升(1)道理学习了一堆&#xff0c;如何使用STM32进行can的收发的话&#xff0c;配置还是挺简单。(2)自己实现了can的收发后&#xff0c;要反过来&#xff0c;补…

STM32与Python上位机通过USB虚拟串口通信

文章目录前言1. 查看原理图2. 新建工程3.添加代码与烧录4. python代码编写总结问题解决思路前言 在详细阅读广大网友的教程之后&#xff0c;我对STM32和Python通过USB通信的流程烂熟于心。 尝试用ST公司的NUCLEO-L476RG板子进行简单的回环通信测试&#xff0c;发现还是存在网上…

stc89c52---循------车代码

//****************** 说明&#xff1a;必看------仅仅提供给本实验室217-2使用&#xff0c;代码不成熟&#xff0c;不要外传。不要外传。 /* 1、本程序是用stc89C52RC或者stc89C51类普通的12T的单片机&#xff1b;如果用stc15系列增强版单片机&#xff0c; 需要调节波特率的…

平衡车+速度/位置pid+野火上位机移植+Freertos+cubemx(一)

平衡小车野火pid上位机移植程序源码已经上传&#xff0c;需要的可以下载。**一.首先下载STM32CUBEMX****二.配置相关单片机和相关功能**1.配置时钟和debug引脚2.开启freertos3.相关功能以及引脚的配置这里使用的相关功能有&#xff1a;TIM1 编码器模式 用于记录左轮的编码器TIM…

stm32Hal库函数的一个基本介绍和使用

以下是一些常用的STM32 HAL库函数&#xff1a; GPIO相关函数&#xff1a;HAL_GPIO_Init()、HAL_GPIO_WritePin()、HAL_GPIO_ReadPin()等。 进行定时器操作的函数&#xff0c;例如&#xff1a;HAL_TIM_Base_Init()、HAL_TIM_Base_Start()、HAL_TIM_PWM_Init()、HAL_TIM_PWM_Sta…

STM32的引脚模式配置

库函数&#xff0c;选择好GPIO_Mode之后&#xff0c;就要使用GPIO_Init()函数来配置&#xff0c;你可以打开这个配置函数&#xff0c;实际上也是在对“配置寄存器”进行写操作。 先解释一下这个结构体中的各个变量的意思&#xff1a; GPIO_Mode_AIN&#xff1a;模拟输入模式 GP…

cubemx 使用 学习跳转链接

STM32CubeMX学习笔记&#xff08;1&#xff09;——搭建环境、编译烧写 https://www.jianshu.com/p/836aadc6e71b STM32CubeMX学习笔记&#xff08;2&#xff09;——GPIO接口使用 https://www.jianshu.com/p/b7e8477eba37 STM32CubeMX学习笔记&#xff08;3&#xff09;——…

cubemx stm32 按键key 支持单、双、三、四击以及长按 链表实现 驱动代码

cubemx配置 打开串口配置按键的 gpio口为 up input 模式配置时钟树 代码 yxy_open_key.c #include "yxy_open_key.h" #include "stdlib.h" #include "string.h" #include "yxy_debug.h"/* // 按键实现思路&#xff1a;用户需要使用…

cubemx stm32 afm3000模块 气体流量传感器 驱动代码

afm3000 AFM3000 传感器是奥松电子的数字流量计&#xff0c;专为呼吸机应用而设计。它以高超的精确度测量空气&#xff0c;氧气和其他非侵蚀性气体的流量。风道内采用特殊设计&#xff0c;使得通过传感器的流动体的压损非常低&#xff0c;使其性能适用于各种苛刻的应用场景&am…

cubemx stm32 基于uln2003模块的步进电机驱动代码

cubemx配置 开4个GPIO口 硬件连接 使用的是野火的指南者&#xff0c;型号是stm32f103ve 代码 uln2003.c #include "uln2003.h" #include "gpio.h"//ULN2003对应的GPIO端口 #define uln_IN_PORT GPIOEvoid Delay_us(uint16_t time)//Delay_us(0) 1…

Keil编辑和STM32cubemx配置STM32控制步进电机

使用Keil ARM V5 IDE编程和STM32CUBEMX代码配置实现STM32F103和步进电机驱动器模块A4988控制步进电机 Keil和Stm32cubemx 安装 安装Keil IDE非常简单。 下载包含Keil IDE的MDK ARM套件&#xff0c;不需要单独安装它的想法。 只需从链接下载文件并安装IDE。 http://www2.keil…

蓝桥杯嵌入式第十三届(第二套客观题)

文章目录 前言一、题目1二、题目2三、题目3四、题目4五、题目5六、题目6七、题目7八、题目8九、题目9十、题目10总结前言 本篇文章继续讲解客观题。 一、题目1 这个其实属于送分题,了解嵌入式或者以后想要入行嵌入式的同学应该都对嵌入式特点有所了解。 A. 采用专用微控制…

藏经阁(七)有源蜂鸣器和无源蜂鸣器 解析

文章目录特征区别场景选型实战应用特征 有源蜂鸣器特征&#xff1a; 又被称为直流蜂鸣器包含了一个多谐振荡器只要额定直流电压可以在两端发出声音具有驱动控制简单价格略高 无源蜂鸣器特征&#xff1a; 又被称为交流蜂鸣器内部没有振荡器需要在两端施加特定频率的方波电压…

STM32开发(十三)STM32F103 片内资源 —— 外部中断 按键 详解

文章目录一、基础知识点二、开发环境三、STM32CubeMX相关配置四、Vscode代码讲解五、结果演示一、基础知识点 外部中断/事件控制器主要特征&#xff1a; 每个中断/事件都有独立的触发和屏蔽每个中断线都有专用的状态位支持多达20个软件的中断/事件请求检测脉冲宽度低于APB2时…

CANopen | 对象字典OD 06 - 创建对象字典变量,通过TPDO定时发送

文章目录一、前言二、实验目的三、对象字典OD四、TPDO1定时发送tx_Value变量一、前言 该笔记的程序: github 二、实验目的 CANopen从站有一个变量tx_Value&#xff0c;映射到TPDO1上。接着&#xff0c;CANopen从站每1S发送一次TPDO1&#xff0c;将tx_Value发送出去。 三、…

基于arduino的STM32IDE串口实现

基于arduino的STM32串口通信实现arduino简介arduino的安装以及arduino_STM32的配置1、安装arduino2、下载[arduino_STM32](https://github.com/rogerclarkmelbourne/Arduino_STM32/tree/master/STM32F1)3、拷贝相关文件4、配置arduino1、打开arduino选择STM32F12、下载ARM3、下…

HAL库与标准库的理解

HAL库的学习HAL库和标准库的原理区别串口通信实验包含的文件区别具体代码区别总结HAL库和标准库的原理区别 HAL简介&#xff1a; HAL库 是st公司为了更方便地进行stm32之间的移植而开发的库&#xff0c;通用性很强&#xff0c;在不同的两款stm32芯片之间的移植基本上不需要修…

八、STM32串口通信

目录 一、串口通信 1.1串口通信物理层 1.2USB转串口模块 1.3串口通信的其他应用 1.4串口数据包的基本组成 二、串口的结构体与函数讲解 2.1串口讲解 2.2结构体讲解 2.3串口初始化函数讲解 三、串口发送字符 3.1如何配置串口的发送 3.2项目实战 四、串口的中断接收 …

CANopen | 对象字典OD 05 - 创建对象字典变量,映射到RPDO

文章目录一、前言二、实验目的三、对象字典OD四、通过RPDO修改变量rx_Value4.1、NMT指令让CANopen从站进入操作状态4.2、RPDO修改变量rx_Value一、前言 该章节的源代码地址&#xff1a;github 以上摘自《CANopen_easy_begin》的第7章。 二、实验目的 CANopen从站有一个变量…

ESP8266_NONOS_SDK设置GOIO中断触发

以下是使用ESP8266 Non-OS SDK编写GPIO引脚设置为中断输入模式的示例代码。该代码使用 "ETS_GPIO_INTR_ATTACH()"函数将中断处理函数注册到GPIO引脚上&#xff1a; #include "ets_sys.h" #include "osapi.h" #include "gpio.h"// 设置…

13_FreeRTOS消息队列

目录 队列简介 FreeRTOS队列特点 队列操作基本过程 队列结构体介绍 队列结构体整体示意图 队列相关API函数介绍 创建队列相关API函数介绍 往队列写入消息API函数 往队列写入消息函数入口参数解析 从队列读取消息API函数 实验源码 队列简介 队列是任务到任务、任务…

大彩 串口屏

资料下载 视频 屏幕程序创建 创建 主界面设置 实现按钮和文本的添加&#xff0c;实现画面的切换 下面注释4有点问题&#xff0c;切换画面还是会下传指令集&#xff0c;只是无法在软件中进行指令集的设置了 按钮界面 首先第一步同上添加背景图片&#xff0c;然后添加…

【蓝桥杯嵌入式】第十三届蓝桥杯嵌入式国赛程序设计试题以及详细题解

文章目录原题展示原题分析详细题解LED模块按键模块串口LCD模块模拟电压读取(ADC)脉冲输入输出文章福利原题展示 原题分析 本届国赛试题主要包含LCD、LED、按键、EEPROM、串口、模拟电压输入、脉冲输入输出七大部分&#xff0c;其中前面三个部分是蓝桥杯嵌入式的“亲儿子”(必考…

【极海APM32替代笔记】HAL库外部定时器、系统定时器阻塞、非阻塞延时

【极海APM32替代笔记】HAL库外部定时器、系统定时器阻塞、非阻塞延时 外部定时器 采用定时器做延时使用时 需要计算好分频和计数 另外还要配置为不进行自动重载 对于50MHz的工作频率 分频为50-1也就是50M/501M 一次计数为1us 分频为50000-1也就是1k 一次计数为1ms 我配置的是…

基于STM32 电机库(5.4.4)的单电阻采样调试总结

目录 硬件调整 软件调整 下载运行 参数优化 总结 硬件调整 实验用的开发板和电机如下&#xff0c;在调单一电阻之前已经在三电阻的环境下把启动运行的参数已经调好了&#xff0c;这里不多说。调好后需要把硬件改成单电阻采样。 如下原理图&#xff1a; 只需要把R75,76两…

ARM内核,RISI(精简指令集),32位系统

1.ARM ARM处理器是英国Acorn有限公司设计的低功耗成本的第一款RISC微处理器。全称为Advanced RISC Machine。ARM处理器本身是32位设计&#xff0c;但也配备16位指令集&#xff0c;一般来讲比等价32位代码节省达35%&#xff0c;却能保留32位系统的所有优势。 简单说&#xff0c…

stm32学习笔记-8 DMA直接存储器读取

8 DMA直接存储器读取 [toc] 注&#xff1a;笔记主要参考B站 江科大自化协 教学视频“STM32入门教程-2023持续更新中”。 注&#xff1a;工程及代码文件放在了本人的Github仓库。 8.1 DMA简介 DMA&#xff08;Direct Memory Access&#xff09;直接存储器存取 可以直接访问ST…

STM32模拟SPI控制NRF24L01发送和接收

STM32模拟SPI控制NRF24L01发送和接收 NRF24L01是一款2.4Ghz ISM频段无线收发芯片。NRF24L01模块可视为无需配对和连接型的WIFI或蓝牙模块。NRF24L01可工作于1发6收工作模式。一个NRF24L01模块工作于发送模式时&#xff0c;每次根据设定的接收端地址发送射频信号和数据&#xf…

stm32f103zet6的GPIO基础知识

IO数量 16*7112个&#xff0c;GPIOA~GPIOG7组,共144个引脚 IO模式 很多IO口既可以做为输入&#xff0c;也可以做为输出 输入模式 VSS指的是地&#xff0c;VDD是高电平&#xff0c; MOS英文全称为Metal-Oxide-Semiconductor。 意思为金属-氧化物-半导体&#xff0c;而拥有这…

keil中获取系统时间

包含头文件&#xff1a;time.h 程序&#xff1a; 运行结果&#xff1a;

qspi MX25L12835F flash 理解

有关flash中的块、扇区、页等的理解&#xff1a;参考1 参考2 一般一个扇区&#xff08;sector&#xff09;多个页&#xff08;page&#xff09; &#xff0c; 一个块 &#xff08;bank&#xff09;又有多个扇区 &#xff08;sector&#xff09;块&#xff08;bank&#xff09…

STM32使用HAL库获取超声波传感器数据

1.开发平台&#xff1a; 软件&#xff1a;Keil5 STM32CubeMX 硬件&#xff1a;STM32F103C8T6 HC-SR04 2.实现思路列举 类比51单片机的方法直接读取定时器数值中高电平时长 优点&#xff1a;实现起来很方便&#xff0c;有51单片机开发经验看起来很容易理解 缺点&#xff1a;…

移植RT-Thread 自动初始化机制到STM32裸机程序

功能描述 移植 RT-Thread 自动初始化机制 到 STM32的裸机程序中,因为自动初始化机制本身工作在初始化阶段,平台依赖比较的少,所以很容易移植到裸机程序并使用 开发环境 Win10 64位Keil MDK uVision5STM32CubeMXNUCLEO-L476RG STM32L476RG 开发板环境搭建 使用 STM32CubeMX…

嵌入式系统相关的知识(下)

1、GPIO原理与结构 GPIO是I/O的最基本形式&#xff0c;它是一组输入引脚或输出引脚。有些GPIO引脚能够加以编程改变工作方向&#xff0c;通常有两个控制寄存器&#xff1a;数据寄存器和数据方向寄存器。数据方向寄存器设置端口的方向。   如果将引脚设置为输出&#xff0c;那…

HC-SR04驱动记录

文章目录1、工作原理2、读取数据方式3、驱动记录1、工作原理 常用的HC-SR04模块如下所示&#xff1a; 引脚说明&#xff1a; 引脚说明VCC电源&#xff0c;常用5vTrig控制端Echo接收端GND地 使用说明&#xff1a; 控制端发送一个10us的高电平脉冲&#xff0c;之后再接收口等待…

一秒钟给硬盘文件做个树状结构目录

一秒钟给硬盘文件做个树状结构目录 一、背景 对于长时间坐在电脑前的打工人来说&#xff0c;若没有养成良好文件分类习惯的话&#xff0c;年终整理电脑文件绝对是件头疼的事情。 给磁盘文件做个目录&#xff0c;一目了然文件都在哪里&#xff1f;想想都是件头疼的事情。 对于…

STM32出现异常复位解决方法

STM32出现HardFault_Handler解决方法 故障的原因主要有两个方面&#xff1a; 1、内存溢出或者访问越界。这个需要自己写程序的时候规范代码&#xff0c;遇到了需要慢慢排查。 2、堆栈溢出。增加堆栈的大小。 出现问题时排查的方法&#xff1a; 发生异常之后可首先查看LR寄…

【STM32】基础知识 第十三课 中断

【STM32】基础知识 第十三课 中断 概述中断是什么中断的作用 & 意义STM32 中断体系NVIC中断向量表STM32 中断优先级基本概念 STM32 外部中断器 (EXTI)EXTI 简介EXTI 配置 AFIOAFIO 与中断 案例 概述 今天小白我将带领大家详细介绍 STM32 单片机中的中断处理机制, 包括中断…

C语言 | 遇到C语言指针别害怕,先把这篇笔记看一遍!

1024G 嵌入式资源大放送&#xff01;包括但不限于C/C、单片机、Linux等。关注微信公众号【嵌入式大杂烩】&#xff0c;回复1024&#xff0c;即可免费获取&#xff01; 指针在C语言中是一块很重要的内容&#xff0c;也是比较难理解的一块内容&#xff0c;我们需要反复学习反复巩…

#ifndef, #define, #endif 作用

目录 #ifndef, #define, #endif 作用 #ifndef #ifdef #define #ifndef, #define, #endif 作用 #ifndef 它是if not define 的简写&#xff0c;是宏定义的一种&#xff0c;实际上确切的说&#xff0c;这应该是预处理功能三种&#xff08;宏定义、文件包含、条件编译&#x…

LVGL 优化帧率技巧

目录标题前文未优化版本LVGL帧率限制刷屏方法效率代码优化等级编译器版本LVGL显存单buffer非全尺寸双buffer全尺寸双buffer本文只针对当时的LVGL v7&#xff0c;LVGL迭代过程中变化较大&#xff0c;部分接口有可能做调整。本文仅供参考前文 LVGL——PC模拟器仿真模拟VS2017 f4…

hardfault问题分析解决及记一次ucosIII环境下的hardfault解决

这里写自定义目录标题背景环境分析举例分析小结背景 hardfault嵌入式开发中算是比较常见的问题了&#xff0c;前几天正好遇到了一次&#xff0c;虽然比较简单&#xff0c;网上资料也很多&#xff0c;不过自己还是做个review分析总结下方法吧。 环境 板子103ucosIII,多任务环…

stm32外设笔记-LCD(二)

文章目录1、PCF8574介绍2、使用PCF8574驱动LCD1602本文用到的实验平台&#xff1a;野火MINI-stm32开发板STM32CUBE-IDE开发工具 1、PCF8574介绍 前面的文章中我介绍了stm32中iic的用法和LCD屏幕1602|12864的使用这两个方面&#xff0c;其中1602和12864都是可以用更少的线的方法…

stm32使用数学库-基于stm32cubeide

dsp的库位置&#xff0c;以F1为例&#xff1a; 打开如下所示 使用如下几个文件 复制到项目文件夹 右击项目点击属性进行编辑&#xff0c;按照如下步骤添加路径和库 这里注意这里是M3的核&#xff0c;所以选ARMMATH_CM3 这里库的名字编写规则为删去前面的lib还有后缀 效…

STM32G070 onchip移植FlashDB

一、Onchip Flash 特性 在STM32G070 的片内 Flash写入数据之前必须先对目标地址进行擦除后才能写入数据数据写入&#xff1a;G070 Flash 写入数据必须保证8字节对齐&#xff0c;一次写入双字数据64bit 8Byte数据读取&#xff1a;使用地址直接读取 二、移植FAL 1. 添加以下文…

树莓派驱动DHT11温湿度传感器

1&#xff0c;直接使用python库 代码如下 import RPi.GPIO as GPIO import dht11 import time import datetimeGPIO.setwarnings(True) GPIO.setmode(GPIO.BCM)instance dht11.DHT11(pin14)try:while True:result instance.read()if result.is_valid():print(ok)print(&quo…

开发STC89C51系列单片机需要用到的C语言技术

开发STC89C51系列单片机需要用到的C语言技术 1. 概述 STC89C51系列单片机是一款常用的51单片机&#xff0c;广泛应用于各种嵌入式系统中。在开发STC89C51系列单片机时&#xff0c;熟悉C语言是非常必要的。本文将介绍开发STC89C51系列单片机需要用到的C语言技术&#xff0c;包…

基于AT89C51单片机的并入串出乘法口诀的设计与仿真

点击链接获取Keil源码与Project Backups仿真图&#xff1a; https://download.csdn.net/download/qq_64505944/87779146?spm1001.2014.3001.5503 源码获取 并入串出乘法口诀的设计与仿真系统设计 目录 第一章 概述 3 1.1课题研究及意义 3 1.2课题设计内容 4 第二章系统设计…

STM32直接用寄存器设置系统时钟

时钟对单片机的重要性&#xff0c;就如同心脏对人的重要性&#xff0c;它能驱动处理器内核完成指令&#xff0c;外设也在它的驱动下完成动作。总而言之&#xff0c;时钟是单片机里很重要的一项。那么&#xff0c;我们为什么要设置系统时钟呢&#xff1f;有的外设需要的工作频率…

夜深人静学32系列16——RTC实时时钟

RTC时钟 RTC什么是RTC&#xff1f;RTC结构框图CubeMX配置RTC代码配置 实战——简易时钟任务要求代码实现实验结果 补充唤醒功能配置代码如下&#xff1a; RTC 什么是RTC&#xff1f; RTC(Real Time Clock)&#xff1a;实时时钟 RTC是个独立的定时器。RTC模块拥有一个连续计数…

STM32开发——智能小车(循迹、避障、测速)

目录 1.循迹小车 1.1CubeMX配置 1.2函数代码 2.避障小车 3.小车测速 1.循迹小车 需求&#xff1a;用左右轮实现PWM调速、红外传感器获取道路信息改变方向。 左边红外D0——PB12 右边红外D0——PB13 1.1CubeMX配置 1.2函数代码 motor.c代码 #include "gpio.h"…

用PROTUES来演示80C51的的16MHZ晶振的示波器演示

我们在上一节演示了12M的一个晶振的闪烁波形&#xff0c;发现有个问题&#xff0c;就是不是每个单片机都是12M的晶振&#xff0c;如果是其他的频率的晶振周期该是多少呢 如果是16M的晶振&#xff0c;那么就用16/120.75ms,想达到周期500ms,更改了延时函数的数值&#xff0c;这样…

单片机protues的8位数码管显示

通过这个电路就可以实现单片机 对共阴极数码管的轮询显示控制

51单片机学习笔记(清翔版)(24)——实时时钟DS1302

这是纽扣电池座&#xff0c;焊接后&#xff0c;放上纽扣电池&#xff0c;可作为备用电源&#xff0c;当主电源断点&#xff0c;DS1302会继续走时&#xff0c;继续工作&#xff0c;如果没有&#xff0c;那么断点后&#xff0c;就不会继续走时&#xff0c;会停止。 静态RAM&#…

STM32F103移植freeModebusRTU(HAL)

目录一、MODBUS 通讯协议二、移植准备三、使用cubeMX建立项目四、移植五、测试六、总结参考一、MODBUS 通讯协议 MODBUS 通讯协议,是1979年由美国Modicon 公司提出的,就是被称为PLC 之父的迪克莫利先生创造的品牌。 MODBUS 是世界上第一个用于工业现场的总线协议,可以说,它的出…

keil仿真和使用逻辑分析仪调波形

目录一、使用keil仿真调试1.相关设置2.调试二、使用示波器查看1.下载软件2.软件介绍3.分析三、总结参考资料基于上一篇博客STM32F103C8T6移植uCOS&#xff08;HAL库&#xff09;和STM32CubeMX HAL库 &#xff1a;RTC时钟 一、使用keil仿真调试 1.相关设置 &#xff08;1&…

stm32F103C8T6基于FreeRTOS操作系统的多任务

目录一、FreeRTOS简介1.什么是FreeRTOS?2. FreeRTOS特点二、创建项目三、编写代码四、编译烧录五、总结参考链接一、FreeRTOS简介 1.什么是FreeRTOS? 我们看一下FreeRTOS的名字&#xff0c;可以分为两部分:Free和 RTOS&#xff0c;Free 就是免费的、自由的、不受约束的意思…

STM32CubeMX HAL库 :RTC时钟

目录一、RTC相关介绍二、新建项目三、代码编写四、编写烧录五、总结参考资料一、RTC相关介绍 RTC实时时钟简介: STM32的RTC外设,实质是一个掉电后还继续运行的定时器,从定时器的角度来看,相对于通用定时器TIM外设,它的功能十分简单,只有计时功能(也可以触发中断).但是从掉电还…

STM32F103C8T6移植uCOS(HAL库)

目录一、使用cubeMX创建项目二、下载uCOSIII源码以及移植准备1.下载uCOSIII源码2.为uC-BSP文件夹新建bsp.c和bsp.h文件3.给文件夹uC-CONFIG添加以下文件&#xff08;从以下路径复制过来&#xff09;4.将uCOS相关文件复制到HAL工程生成的的MDK-ARM文件夹下三、开始移植1.添加文件…

基于STM32+OLED的温湿度显示

目录一、实现将温湿度采集数据显示到OLED1.主要代码2.线路连接3.结果展示二、总结参考资料本实验是在前两篇&#xff1a;基于STM32F103的OLED显示和STM32通过I2C接口采集温湿度的基础上完成的&#xff0c;前两篇讲过的会略过。 一、实现将温湿度采集数据显示到OLED 1.主要代码…

STM32_基础入门(十一)第二篇_通用定时器使用详解

持续关注阿杰在线更新保姆式笔记~~坚持日更 目录 一、通用定时器基本介绍 二、基本定时功能 1、定时器时钟来源分析 2、常用库函数 3、代码区 三、定时器输出PWM 3.1基本介绍 3.2 PWM工作过程 ​3.3 常用库函数 ​PWM输出配置步骤&#xff1a; 3.4 代码区 四、输…

STM32模拟I2C协议获取HMC5883L电子罗盘磁角度数据 (HAL)

STM32模拟I2C协议获取HMC5883L电子罗盘磁角度数据(HAL) HMC5883L 传感器采用霍尼韦尔各向异性磁阻(AMR)技术&#xff0c;应用于罗盘和三轴磁场角度检测领域&#xff0c;常用于水平物体转动的角度识别。HMC5883L 采用I2C总线接口&#xff0c;2.16~3.6V供电范围&#xff0c;带有…

STM32使用LoRa模块无线发送和接收

介绍 本教程包含两个示例。 在第一个示例中&#xff0c;我们将从LoRa发送者/发送者向接收者发送一条简单的“ Hello World”消息。 但是在第二个示例中&#xff0c;我们将无线发送传感器数据。 BME280气压传感器提供气压&#xff0c;温度&#xff0c;湿度等信息。STM32 LoRa发…

基于STM32 + SYN6288语音播报

完整代码下载 https://download.csdn.net/download/zhouml_msn/85592868 一、接线示例&#xff1a; 二、模块重点&#xff1a; 1&#xff1a;5V供电 &#xff0c;功耗约120mA (带图中小喇叭)&#xff1b; 2&#xff1a;最少接3根线&#xff1a;5V, GND, RXD&#xff0c;TXD…

四种Bootloader程序安全机制设计

正文 大家周末好&#xff0c;我是bug菌~ 不管是玩单片机还是嵌入式linux&#xff0c;基本上都会接触到bootloader&#xff0c;所以bootloader程序也是一个关键的组件&#xff0c;进行硬件初始化&#xff0c;应用程序的合法性、完成性检测、升级功能等等都与其息息相关。 像一些…

【单片机】STM32F103C8T6 最小系统板原理图

STM32F103C8T6是一款基于ARM Cortex-M3内核的32位微控制器&#xff0c;由STMicroelectronics&#xff08;ST&#xff09;公司生产。它是STMicroelectronics的STM32系列微控制器中的一员&#xff0c;被广泛应用于嵌入式系统和电子设备中。 STM32F103C8T6单片机的主要特点和资源…

STM32速成笔记—定时器

文章目录 一、什么是定时器二、定时器有什么用三、通用定时器详细介绍3.1 时钟来源3.2 预分频器&#xff0c;计数器&#xff0c;自动重装载寄存器3.2.1 预分频器3.2.2 计数器3.2.3 自动重装载寄存器 3.3 触发控制器 四、PWM4.1 什么是PWM4.2 什么是占空比4.3 STM32F1 PWM介绍4.…

使用STM32在0.96寸OLED显示屏上实现字符滚动

使用STM32在0.96寸OLED显示屏上实现字符滚动一、初识OLED屏滚动命令二、对所需显示文本取模三、主要代码四、效果展示五、参考资料、一、初识OLED屏滚动命令 本OLED屏的芯片类型为&#xff1a;SSD1306 详细命令请参考链接 https://wlink.blog.csdn.net/article/details/8132977…

PCB

PCB图一、软件破解使用二、创建stm32最小系统元件库三、完成管脚绘制四、封装&#xff08;一&#xff09;、芯片PCB的封装&#xff08;二&#xff09;、芯片SCH的封装&#xff08;三&#xff09;、自定义元器件PCB的封装&#xff08;四&#xff09;、自定义元器件SCH的封装使用…

使用Ardunio IDE实现STM32的串口通信

使用Ardunio IDE实现STM32的串口通信一、安装Ardunio IDE二、Ardunio IDE配置STM32F10X的开发环境及配置三、Ardunio IDE的串口通信四、实验结果展示五、参考链接一、安装Ardunio IDE 不知道在哪儿下载Ardunio IDE的同学们可以直接通过网盘进行下载 链接&#xff1a;https://…

STM32ADC

ADC:Analog-to-Digital Converter的缩写。 指模/数转换器或者模拟/数字转换器。是指将连续变量的模拟信号转换为离散的数字信号的器件。 典型的模拟数字转换器将模拟信号转换为表示一定比例电压值的数字信号。(DAC:数字量转化成模拟量&#xff09; STM32通道组1.规则通道组:…

共享充电宝方案原理,具体部件组成以及主控MUC参数

随着科技的不断发展以及手机的普及&#xff0c;现在越来越多的人群离不开手机了&#xff0c;然而在出门在外的情况下手机将无法避免的出现电量不足的情况&#xff0c;尤其是对于一些电量不足恐惧症的人来说看到电量见底将会毫无安全感。 近几年&#xff0c;共享经济崛起&#…

01单型额温枪方案分享

额温枪方案介绍 单片机型号:K32F030K6T6&#xff08;ST32F030K6T6 PIN TO PIN替代&#xff09; 72M主频,32KB Flash,10KB SRAM 应用领域:医疗、家用测温 工作电压:DC3V(AAA电池*2)通讯模式:12C通讯 传感器类型:数字传感器测量方法: 非接触式测温提醒: 振动 发烧提醒:37.5℃~42.…

STM32的三种Boot模式的差异

STM32的三种Boot模式的差异一、 STM32启动配置二、 内置SRAM简介三、 例程验证3.1 硬件设置3.2 创建工程调试版本3.3 配置分散加载文件3.5 配置中断向量表3.6 修改FLASH 下载配置3.7 实验结果四、 总结五、 参考资料摘要&#xff1a;在我们学习STM32F103XX系列的&#xff0c;一…

J-Link驱动安装

官网下载&#xff1a;https://www.segger.com/downloads/jlink/JLink_Windows_beta.exe

SPI接口原理与配置与其实验操作

SPI接口原理&#xff1a; SPI接口简介&#xff1a; SPI 是英语Serial Peripheral interface的缩写&#xff0c;顾名思义就是串行外围设备接口。是Motorola首先在其MC68HCXX系列处理器上定义的。 SPI&#xff0c;是一种高速的&#xff0c;全双工&#xff0c;同步的通信总线&a…

ADC模数转换+实验

ADC概述&#xff1a; Analog-to-Digital Converter的缩写。指模/数转换器或者模拟/数字转换器。是指将连续变量的模拟信号转换为离散的数字信号的器件。 典型的模拟数字转换器将模拟信号转换为表示一定比例电压值的数字信号。 STM32F10x ADC特点: 12位逐次逼近型的模拟数字转…

c语言复习+MDK中寄存器地址名称映射

C语言复习&#xff1a; 一、位操作&#xff1a;6种操作运算符 二、define宏定义关键词 define是C语言中的预处理命令,它用于宏定义,可以提高源代码的可读性&#xff0c;为编程提供方便。 常见的格式: define标识符字符串 “标识符”为所定义的宏名。“字符串”可以是常数、…

stn32按键输入实验——GPIO作输入

硬件连接图&#xff1a; 根据自己的板子合理设计连接图或寻找盘内资料&#xff0c;此文章运用此连接图。 GPIO输操作说明&#xff1a; 读取IO口输入电平函数&#xff1a;uit8_ GPIO_REAdlnputDataBit(GPIO_TypeDef* GPIOx, uint16_t GPIO_Pin)读取IO口输入电平操作寄存器&…

基于STM32+FPGA的SPI接口

一、SPI协议简要介绍 SPI&#xff0c;是英语Serial Peripheral Interface的缩写&#xff0c;顾名思义就是串行外围设备接口。SPI&#xff0c;是一种高速的&#xff0c;全双工&#xff0c;同步的通信总线&#xff0c;并且在芯片的管脚上只占用四根线&#xff0c;节约了芯片的管…

STM32 Keil STlink调试总结2#

对于STM32F103系列单片机&#xff0c;在程序中可以通过配置禁止 JLINK或者STLINK的调试口功能 __HAL_AFIO_REMAP_SWJ_DISABLE(); __HAL_AFIO_REMAP_SWJ_NOJTAG() &#xff1b; 另外就是cubeMX默认情况下的Debug选项是 这样自动生成的代码也是将JLINK和STLINK禁用了&#xff…

STM32程序设计(跑马灯)以及STM32原理图绘制(通过ad软件)

STM32程序设计以及原理图绘制STM32跑马灯程序设计及仿真1、创建新的项目2、选择stm32f103r63、主程序&#xff1a;4、led.c程序5、proteus电路图设计6、注意事项&#xff1a;6.1&#xff1a;选择Design6.2&#xff1a;选择power rail configuration6.3&#xff1a;将vss、vdda添…

STM32F4XX与J-LINKOB的连接

STM32F4XX与J-LINKOB的连接 stm32的程序下载方式有ST-LINK和J-LINK&#xff0c;以及其他的方式&#xff08;因为这两种方式是我自己使用最多的&#xff0c;其他的接触不算多哈&#xff01;&#xff09; 那我自己手上的板子呢&#xff0c;是STM32F407的&#xff0c;长这个样子&…

数字电路的74HC138的PROTUES的仿真

我们先看一下74HC138的真值表 然后挑选几个 确认一下是否与我们想的一致 证明这个是正确的

基于STM32的直流电机调速系统

目录 基于STM32的直流电机调速系统一、原理图二、部分代码三、视频演示 基于STM32的直流电机调速系统 功能&#xff1a; 1.通过LCD屏幕显示实时两个电机的占空比 2.通过按键调整电机1和2的加减速 3.通过L298N驱动两个直流电机完成调速 一、原理图 二、部分代码 #include &qu…

基于STM32F103C8的USART串口通讯程序

目录一、串口协议和RS-232标准&#xff0c;RS232电平与TTL电平的区别&#xff0c;"USB/TTL转232"模块的工作原理1.串口协议2.RS-232标准3.RS232电平与TTL电平的区别4."USB/TTL转232"模块的工作原理&#xff08;以CH340芯片为例&#xff09;二、USART介绍1.…

STM32F103寄存器方式点亮LED流水灯

目录一、STM32F103系列芯片的地址映射和寄存器映射原理1.什么是寄存器2.存储器映射3.寄存器映射二、GPIO端口的初始化设置三步骤1.GPIO 简介2.初始化设置三、点亮LED流水灯1.打开GPIO口的时钟2.初始化GPIO口3.设置高低电平四、C语言实现1.项目创建2.连接电路3.编译程序六、汇编…

Keil5中添加TKSCOPE下载器

21年已经进入最后一个月了&#xff0c;这一年也挺魔幻的&#xff0c;发生挺多事情的&#xff0c;自己也在慢慢地走向正轨 Keil5中添加TKSCOPE下载器 添加教程 这篇博客总结的很全&#xff0c;我主要说一下我遇到的问题 首先就是那篇博客说要“禁止签名驱动”&#xff0c;之…

国产芯片LCSHA204 兼容ATSHA204A

LCSHA204芯片是一款兼容ATMEL公司ATSHA204A的国产安全芯片&#xff0c;功能丰富内部使用SHA-256算法进行作可实现MAC认证、HMAC计算、密文读写等功能&#xff0c;内置16*32字节的slot(EEPROM)可以存储用户数据和秘钥&#xff0c;88字节配置区&#xff0c;512bit的OTP区&#xf…

在Keil5中新建基于寄存器STM32工程的步骤

新建工程文件夹 建立名字为LED的文件夹存放工程文件&#xff0c;其中再建立两个文件夹&#xff0c;Listing文件夹用于存放编译器编译时候产生的C语言、汇编、链接文件&#xff0c;Output文件夹用于存放编译产生的调试信息、hex文件、预览信息、封装库等。 文件夹建好之后&…

MPU6050使用卡尔曼滤波来获取数据

文章目录1、使用滤波来获取姿态角2、程序设计3、源码关于滤波的方法来获取数据我们在第一篇文章其实就讲到了&#xff0c;但是没有讲怎么去使用他&#xff0c;因此这里就挑选一个用起来比较好的卡尔曼滤波来说明下&#xff0c;关于其他的比较好的滤波方式&#xff0c;可以见&am…

移植DMP到MPU6050获取欧拉角

文章目录1、较浅显的姿态解算介绍2、姿态解算是怎么来的1、加速度反求2、角速度积分3、DMP介绍4、DMP移植5、源码经过上一节的介绍&#xff0c;我们可以读出 MPU6050的加速度传感器和角速度传感器的原始数据。不过这些原始数据&#xff0c;对我们来说&#xff0c;用处不大&…

RC522(RFID)读写驱动

文章目录1、RC522驱动原理2、手机APP查看卡信息3、驱动移植4、读写卡5、源码1、RC522驱动原理 我们常见的RC522大概如下所示&#xff0c;PCB部分是主机&#xff0c;然后白色的和绿色的都是IC卡&#xff0c;IC卡可以存储信息&#xff0c;通过靠近PCB主机部分就可以被感应到从而…

stm32vdd、vcc、vdda等引脚介绍

Vcc&#xff1a;即接入电路的电压&#xff0c;一般是电池接入或者经过稳压输出后的电压 VSS&#xff1a;即电路公共地端电压&#xff0c;一般接GND GND; 即代表地线或0&#xff0c;不意味着真正意义上的大地&#xff0c;一般是电池负极&#xff0c;有时候需要连接大地&#x…

HAL库驱动NRF24L01实现数据传输

文章目录1、NRF24L01介绍2、驱动程序设计1、根据原理图进行封装2、cubemx配置3、收发测试4、源代码本次使用正点原子精英开发板和野火MINI开发板进行采用NRF24L01的数据收发测试&#xff0c;程序编写平台采用stm32cubeide 1、NRF24L01介绍 我们常见的NRF24L01模块如下所示&…

学习FreeRTOS(1):实验环境

学习FreeRTOS(1)&#xff1a;实验环境 FreeRTOS实验环境&#xff1a;指导手册《Mastering the FreeRTOS Real Time Kernel A Hands-On Tutorial Guide》&#xff1b;开发板NUCLEO-F303K8&#xff1b;代码生成器STM32CubeMX&#xff1b;集成开发环境TrueSTUDIO。 http://blog.…

stm32f4工xun代码学习03 上料下料

//判断物料在哪个框 u8 Judge_Material_Numb(u8 _lable,u8 size) {u8 i;for(i0;i<3;i){if((box_mes[i].lable_lable || (box_mes[i].lable2 && _lable6) || (box_mes[i].lable6 && _lable2)) && box_mes[i].sizesize) break;}if(i3) return 0;else …

mv--uart..

# Untitled - By: Administrator - 周四 四月 7 2022import sensor, image, time from pyb import UART from pyb import Pin, Timer, LED sensor.reset() sensor.set_pixformat(sensor.RGB565) sensor.set_framesize(sensor.QVGA) sensor.skip_frames(time 2000)#uartUART(1,…

stc8a--esp8266

/*ESP8266 WiFi模块 TCP/IP通信实验&#xff08;单片机主频&#xff1a;11.0592MHz&#xff09; 串口2与 wifi模块通讯 其波特率为115200 串口1与 上位机通讯 其波特率为9600ESP8266设置为APStation模式 server服务器模式 并产生一个wifi热点说明: 1. 该程序将ESP8266设置为…

STM32定时器时间计算

STM32定时器可以使用内部时钟或外部时钟来进行时间计算。可以使用不同的计数模式(如自动重装计数器、预装载寄存器等)来满足不同的需求。STM32还提供了多种中断源&#xff0c;可以在计数器溢出或比较匹配时触发中断&#xff0c;从而实现计时和计数功能。

写一个stm32F4 HAL库 st7735s的硬件驱动

STM32F4 HAL库下的ST7735S硬件驱动程序应该包含如下内容&#xff1a; 配置ST7735S的引脚管脚&#xff0c;如时钟、数据总线、命令/数据线、重置线等。 实现ST7735S的初始化函数&#xff0c;用于对屏幕进行设置&#xff0c;如初始化寄存器、开启显示等。 实现ST7735S的写命令函数…

STM32+RTC实现时钟无法设置时间以及掉电时间清零的问题

最近在实现一个万年历的功能&#xff0c;其中遇到了无法设置时间以及掉电时间清零的问题。 .h文件代码如下&#xff1a; #ifndef __RTC_H #define __RTC_H //时间结构体 typedef struct {vu8 hour;vu8 min;vu8 sec; //公历日月年周vu16 w_year;vu8 w_month;vu8 w_d…

Wi-Fi模块(ESP8266)详解

Wi-Fi模块——ESP8266 0. Wi-Fi模块概述1. 常见的Wi-Fi模块2. ESP8266模块2.1 概念2.2 特点 3. STM32F103C8T6使用ESP8266进行无线通信的示例代码 0. Wi-Fi模块概述 Wi-Fi模块是一种用于无线通信的设备&#xff0c;它能够通过Wi-Fi技术实现设备之间的无线数据传输和互联网连接…

M4单片机+DM9051NP网卡项目总结

M4单片机DM9051NP网卡项目总结 1&#xff0c;云打印设备 云打印机技术需求 打印功能 联网功能 较复杂的控制算法 为何需要32-bit M4 MCU&#xff1f; 高效能&#xff1a;M4F 200MHz 主频 (AT32F403/413系列) 大容量&#xff1a;程序空间支持更大、字库等储存 充足资源&…

27.STM32RS485

1.RS485 RS485&#xff08;一般称作RS485/EIA-485&#xff09;是隶属于OSI模型物理层的电气特性规定为2线&#xff0c;半双工&#xff0c;多点通信的标准。它的电气特性和RS-232大不一样。用缆线两端的电压差值来表示传递信号。RS485仅仅规定了接受端和发送端的电气特性。它没…

13 . 外部中断实验

外部中断实验 介绍了STM32F10x 的中断&#xff0c;就来学习下外部中断。要实现的功能与按键实验一样&#xff0c;即通过按键控制LED&#xff0c;只不过这里采用外部中断方式进行控制。 1. 外部中断介绍 EXTI 简介 STM32F10x 外部中断/事件控制器&#xff08;EXTI&#xff09…

STM32的PWM以及DAC功能的实现

STM32的PWM以及DAC功能的实现一、 PWM1.1 原理1.2 PWM输出二、 DAC2.1 简介2.2 功能框图2.3 DAC 初始化结构体简介三、 实验3.1 任务要求3.2 工程四、 总结五、 参考资料摘要&#xff1a;在之前学习的STM32中&#xff0c;已经学会了通过给GPIO端口赋值高低电平来控制LED的亮灭。…

C语言程序里全局变量、局部变量、堆、栈等概念

C语言程序里全局变量、局部变量、堆、栈等概念一、 存储区域的介绍1.1 内存分配1.2 变量的内存分配1.3 图解二、 在ubuntu系统中编程并进行验证2.1 源码2.2 结果2.3 结果分析三、 在Keil中针对stm32系统进行编程进行验证3.1 详细代码3.2 结果3.3结果分析四、 总结五、 参考资料…

80C51的8位数码管显示

这个8位的数码管共有16个引脚&#xff0c;我们通过锁存器搭建了一个这样的电路&#xff0c;用P14和P15来控制锁存器。 这样看起来的是可以的&#xff0c;上面没有显示全是软件本身的问题。

11 . 蜂鸣器实验介绍

蜂鸣器简介 蜂鸣器是一种一体化结构的电子讯响器&#xff0c;采用直流电压供电&#xff0c;广泛应用于计算机、打印机、复印机、报警器、电子玩具、汽车电子设备、电话机、定时器等电子产品中作发声器件。 蜂鸣器主要分为压电式蜂鸣器和电磁式蜂鸣器两种类型。 压电式蜂鸣器主…

STM32F1xx HAL库 中文详解 之 ADC篇

本文主要从ADC中用到的结构体、函数以及用法讲解。 目录 一、结构体 1.ADC_InitTypeDef 2.ADC_ChannelConfTypeDef 3.ADC_AnalogWDGConfTypeDef 4.ADC_HandleTypeDef 二、函数 2.1所有函数的概括及功能 三、ADC的使用 3.1配置ADC相关的顶级参数&#xff1a; ADC外围功…

AD9528芯片介绍及配置详解

AD9528是ADI(亚德诺半导体技术有限公司, Analog Devices, Inc. 简称ADI )出品的一款双级PLL&#xff0c;集成JESD204B SYSREF发生器&#xff0c;可用于多器件同步。第一级锁相环(PLL) (PLL1)通过减少系统时钟的抖动&#xff0c;从而实现输入基准电压调理。第二级PLL (PLL2)提供…

STM32F10xxx启动模式分析 – 梦想照旧

STM32的启动模式&#xff1a;STM32有三种启动模式&#xff0c;对应的存储介质均是芯片内置的&#xff1a; 1. User Flash Memory(Main Memory) 芯片内置的Flash&#xff0c;用户程序存放在其中&#xff0c;这是正常的工作模式。一般情况下&#xff0c;都是选择这种启动方式…

STM32通过中断来控制LED灯以及实现串口通信

目录一、中断的介绍二、通过中断来控制LED灯1.新建工程项目2.编写代码3.编译烧录三、STM32cubeMXHAL库中断方式实现串口通信1、创建项目2、编写代码3、编译烧写四、总结参考资料一、中断的介绍 中断是由内核外部产生的&#xff0c;一般由硬件引起&#xff0c;比如外设中断和外…

stm32 串口+DMA+环形FIFO缓存收发数据

μcos环境例程 freertos环境例程 重要几点 1.配置DMA&#xff0c;串口及环形buff之间的关系&#xff1b; 2.USART_IT_IDLE空闲中断接收完一帧数据&#xff0c;处理环形buff入口指针,通知用户程序接收完一次数据&#xff1b; 3.发送数据无需利用环形buff&#xff0c;直接将待传数…

Altium Designer绘制stm32最小系统原理图及stm32+SD卡绘制

文章目录一. Altium Designer 18的下载与安装1. 下载AD2. 安装AD3. 配置AD环境二. 绘制STM32最小系统的原理图1. 新建工程2. 效果图三. 设计STM32SD卡的系统原理图四. 总结五. 参考资料一. Altium Designer 18的下载与安装 Altium Designer是原Protel软件开发商Altium公司推出的…

STM32F103之完成对SD卡的数据读取

文章目录一. 认识SD卡1. 介绍SD卡2. SD卡的物理结构3. SD卡寄存器4. SD卡模式5. 初始化SD卡过程6. SD卡读取数据二. 实验操作——对SD卡进行数据读取1. 硬件准备2. 新建工程3. 代码部分4. 烧录程序5. 实验结果分析三. 总结四. 参考资料一. 认识SD卡 1. 介绍SD卡 SD存储卡是一…

Ubuntu、stm32下的C程序中堆、栈、全局、局部等变量的分配问题

文章目录一. C程序内存分配问题1. 全局变量、局部变量、堆、栈的概念2. C程序的内存分配二. 在Ubuntu&#xff08;x86&#xff09;系统和STM32(Keil)中分别进行编程1. 基于Ubuntu的变量地址分配的验证2. 基于STM32(Keil)的变量地址分配的验证3. 烧录程序4. 串口发送数据三. 归纳…

STM32之基于I2C/SPI总线的温湿度采集与OLED显示

文章目录一. 基于I2C的温湿度采集&#xff08;AHT20&#xff09;&#xff08;1&#xff09;I2C的介绍&#xff08;2&#xff09;软件I2C和硬件I2C&#xff08;3&#xff09;AHT20温湿度采集1. 电路连接2. 代码部分3. 程序烧录4. 读取温度信息二. OLED显示——实例一&#xff1a…

两台电脑串口通信实现文件传输

文章目录一. 实验过程1. 准备工作2. 传输文件3. 对比实际传输时间与预算传输时间4. 探究波特率和传输时间之间的关系5. 探究文件大小和传输时间之间的关系二. 总结本文将两台笔记本电脑&#xff0c;借助usb转rs232模块和杜邦线&#xff0c;建立起串口连接。然后用串口助手工具软…

基于STM32的中断及DMA通信

文章目录一. STM32之中断1. 何为中断2. 实例&#xff08;1&#xff09;——CubeMX中断点灯&#xff08;1&#xff09;配置调试模式&#xff08;2&#xff09;外设配置&#xff08;3&#xff09;时钟配置&#xff08;4&#xff09;工程管理&#xff08;5&#xff09;添加代码并编…

STM32串口通信以及USB/TTL转232工作原理

文章目录一. 串口通信协议1. 简介2. RS-232 标准3. RS232电平与TTL电平的区别二. USB转串口工作原理USB转串口CH340接线三. 实例——STM32的USART串口通信1. CH340驱动安装2. 编写代码3. 烧录程序4.串口调试四. 总结五. 参考文献一. 串口通信协议 1. 简介 串口通信&#xff1…

STM32CubeMX+Keil使用HAL库点灯

文章目录一. CubeMX的安装二. 用CubeMX新建工程点亮LED灯1. STM32CubeMX生成工程文件2. 配置引脚3. 配置时钟4. 配置调试模式5. 工程管理6. 添加代码7. 硬件的烧录三. keil观察GPIO端口的输出波形1. 设置仿真模式2. 使用逻辑分析仪四. 总结五. 参考文献一. CubeMX的安装 STM32C…

STM32F103寄存器方式点亮LED

文章目录一. STM32F103系列芯片的映射原理什么是寄存器&#xff1f;&#xff08;1&#xff09;地址映射&#xff08;2&#xff09;寄存器映射二. GPIO端口的初始化设置步骤&#xff08;1&#xff09;GPIO介绍&#xff08;2&#xff09;时钟配置&#xff08;3&#xff09;输入输…

Keil5下的STM32的C与汇编语言混合编程

文章目录一. C语言调用汇编函数1. 项目创建2. 编写代码3. 配置环境及代码运行&#xff08;1&#xff09;仿真器设置以及编译&#xff08;2&#xff09;程序调试二. 修改函数功能&#xff08;1&#xff09;修改代码&#xff08;2&#xff09;设置断点&#xff08;3&#xff09;编…

细说IO口

stm32 IO口 每个GPIO引脚都可以由软件配置成输出&#xff08;推挽或开漏&#xff09;。输入&#xff08;带或不带上拉或下拉&#xff09;或复用的外设功能端。多数GPIO引脚都与数字或模拟的复用外设公用。除了具有模拟输入功能的端口&#xff0c;所有的GPIO引脚都有大电流通过…

芯片制造原理及STM32命名规则

举例 stm32f103c8t6 公司&#xff1b; ST-- 意法半导体公司名 M – Microcontrollers 系列&#xff1b; 32 – 基于ARM的32位微处理器 8 – 8为微处理器 类型&#xff1b; F – 通用性 S – 高性能 L – 低功耗 H – 高性能 AL – 汽车应用低功耗性 AF – 汽车应用通用性 子…

serial.serialutil.SerialException: could not open port ‘COM7‘: PermissionError(13, ‘�ܾ����ʡ�‘, None,

serial.serialutil.SerialException: could not open port ‘COM7’: PermissionError(13, ‘&#xfffd;ܾ&#xfffd;&#xfffd;&#xfffd;&#xfffd;ʡ&#xfffd;’, None, 调试esp8266时&#xff0c;因为串口一直在打印信息&#xff0c;串口忙不过来&#xff0c…

OPENMV配置记录(一)

文章目录1、刷写固件2、开始配置openmv3、图像获取与显示4、修改图像&#xff0c;获取像素&#xff0c;添加元素5、使用图像进行基本操作-颜色追踪6&#xff0c;识别码7、模版匹配8、通过比例的方法来求解距离9、组合使用正好回家带了一篇H7的板子&#xff0c;想着先把openmv部…

STM32-STM32CubeIDE如何将头文件和宏加入到工程设置

将头文件的目录添加到【C/C Build】->【Settings】->【Tool Settings】->【MCU GCC Compiler】->【Include paths】 将宏定义编译进工程【C/C Build】->【Settings】->【Tool Settings】->【MCU GCC Compiler】->【Preprocessor】

stm32 74hc595外扩io 调试记录

本文使用的测试代码如下 (1条消息) stm3274hc595外扩io调试工程&#xff0c;软件代码2片74hc595级联外扩IO资源-CSDN文库 有个项目对成本比较敏感&#xff0c;又想用ST的片子&#xff0c;输出管脚比较多&#xff0c;就考虑外扩IO&#xff0c;也有一些外扩IO的片子但是用下来成…

STM32文档

一、寄存器缩写 二、存储器和总线构架 DMA&#xff0c;全称为&#xff1a;Direct Memory Access&#xff0c;即直接存储器访问 简而言之&#xff0c;DMA就是将一个内存里的数据搬运到另一个内存里&#xff0c;此过程无需CPU直接控制输出 系统架构存储器组织存储器映射&#xf…

NVIC中断优先级管理

芯片功能强&#xff0c;难免中断多&#xff0c;那么这么多中断&#xff0c;它们的执行顺序是怎样的&#xff0c;是怎样管理的呢&#xff1f; 首先&#xff0c;对于每个中断而言都有抢占优先级或响应优先级&#xff0c;或两者都有 中断管理方法&#xff1a; 首先&#xff0c;对S…

STM32 串口接收中断 代码

1、代码介绍 今天做项目&#xff0c;要用到串口中断接收&#xff0c;在网上一搜&#xff0c;大量代码&#xff0c;copy过来编译没问题&#xff0c;但是运行起来才发现不能产生中断&#xff0c;于是决定自己搞搞&#xff0c;用了半天的功夫&#xff0c;终于有结果了。 2、共同…

汇编语言笔记

汇编语言文章目录基础知识DEBUG工具寄存器指令1. 数据传送指令2. 算术指令3. 逻辑指令4. 串处理指令5.控制转移指令数据定义中断原码、补码、反码寻址方式标志位的状态MASM5.0组成代码注意小技巧常见符号的ASCii码**寄存器赋值**基础知识 DEBUG工具 R: 查看、改变CPU寄存器内容…

STM32读取编码器数据(STM32-1)

编码器&#xff08;encoder&#xff09;是将信号或数据进行编制、转换为可用以通讯、传输和存储的信号形式的设备。按照外形可以分为实心轴和空心轴&#xff1b;按照工作原理编码器可分为增量式和绝对式两类。增量式编码器是将位移转换成周期性的电信号&#xff0c;再把这个电信…

Keil5新建STM32工程

一、下载ST官方库 首先注册账号登录&#xff0c;然后点击产品、微控制器&#xff1b;如图 接着右侧的工具与软件&#xff1b;嵌入式软件&#xff0c;并选择STM32&#xff0c;如图。 我的是STM32F1系列&#xff0c;选择如图这个 获取软件 然后下载即可。 二、安装keil 不介绍。相…

RT-Thread:STM32F407同时挂载W25Q128和U盘

文章目录前言一、env配置USB和W25Q128二、配置文件系统参数三、创建U盘挂载的路径四、将U盘文件复制到本地磁盘总结前言 让系统能将U盘中的文件复制到本地磁盘&#xff08;本地磁盘&#xff1a;挂载了文件系统的W25Q128&#xff09;。 一、env配置USB和W25Q128 1、配置W25Q12…

pwm原理与stm32控制

做一件事情之前&#xff0c;我们首先肯定是要了解这个东西是什么。我们才能去对它进行开发。 一、pwm原理 PWM是Pulse Width Modulation的缩写&#xff0c; 中文名字是脉冲宽度调制&#xff0c;一种说法是它利用微处理器的数字输出来对模拟电路进行控制的一种有效的技术&…

STM32-MDK: Error: L6915E: Library reports error: __use_no_semihosting was requested,

为了让程序可以使用“printf”进行输出&#xff0c;从而使用串口程序。 在编译程序是报错&#xff1a; …\OBJ\test_program.axf: Error: L6915E: Library reports error: __use_no_semihosting was requested, but a semihosting fputc was linked in… 解决方案&#xff1a;…

BKP备份寄存器RTC实时时钟

BKP本质上是RAM寄存器&#xff0c;不能完全掉电不丢失&#xff0c;它的数据需要VBAT引脚提供的备用电源来维持。RTC复位和掉电不丢失是通过BKP来实现的。 目录 Unix时间戳 简介 计时标准​编辑 时间戳转换​编辑 示例代码 BKP 简介 BKP基本结构 RTC RTC简介 RTC框图 RT…

STM32滴答时钟[直接用寄存器]

生活中&#xff0c;我们通过看表来知道当前时间&#xff0c;知道我们在这个点应该做什么事情&#xff0c;那么芯片呢&#xff0c;就通过各种定时器来记录时间&#xff0c;滴答时钟就是定时器的一种&#xff0c;它可以用来做操作系统的节拍&#xff08;心跳&#xff09;&#xf…

基于STM32CubMX和HAL库的外设开发(三、深入理解GPIO输出功能和LED闪烁)

文章目录基于STM32CubMX和HAL库的外设开发第三讲 深入理解GPIO输出功能和LED闪烁应用&#xff08;一&#xff09;GPIO参数设置&#xff08;二&#xff09;从应用层&#xff08;main.c&#xff09;中实现目标功能&#xff08;闪烁&#xff09;&#xff08;三&#xff09;修改代码…

STM32端口输入输出模式配置

STM32的IO口模式配置 根据数据手册提供的信息&#xff0c;stm32的io口一共有八种模式&#xff0c;他们分别是&#xff1a; 四种输入模式 上拉输入&#xff1a;通过内部的上拉电阻将一个不确定的信号通过一个电阻拉到高电平。 下拉输入&#xff1a;把电压拉到GND。与上拉原理…

LKCOS权限说明(一):概念介绍

芯片权限是标准COS指令类芯片在应用中非常重要的一部分。接下来会采用连载的方式为大家介绍LKCOS芯片的权限说明&#xff0c;以及获取权限的相应方法。 概念介绍 芯片安全状态寄存器Z&#xff1a;标准COS芯片安全状态寄存器Z值范围为&#xff08;0~F&#xff09; 16种值&#…

c语言零散记录

文章目录1、assert_param 断言2、提示报错信息 #error3、程序断执行if elif else endif4、带参宏5、_IO、 _I、 _O、volatile6、位操作7、位操作常用用法1、32bit数据位&#xff0c;字节操作2、32bit数据位&#xff0c;字节清零3、32bit数据位&#xff0c;字节置位4、判断某一位…

解析GPS数据(长字符串解析示例)

文章目录1、ATGM336H模块介绍2、驱动编写3、源码这里GPS一般返回的都是大量的数据&#xff0c;而且是一行行的那种&#xff0c;大部分数据也不太用的到&#xff0c;所以这个时候用串口DMA空闲中断其实就意义不大&#xff0c;这样我们就可以用一种新的思路来进行数据解析&#x…

零死角玩转stm32中级篇4-ADC和DAC

本篇博文目录: 一.ADC的基础概念1.什么是ADC2.在单片机中我们一般使用ADC技术来做什么?3.怎么查看单片机的某一个引脚是否具有ADC功能4.ADC采集和引脚数据的读取有什么区别5.单片机内部采用的是数字信号&#xff0c;为什么还要采用ADC进行转换6.ADC的分类7.ADC的工作原理8.ADC…

单片机--实战练习

目录 【1】GPIO 1.定义 2.应用 I - Input - 输入采集 O - Output - 输出控制 ​编辑​编辑 3.GPIO结构框图 4.功能描述 输入功能 输出功能 5.相关寄存器 【2】点亮一盏LED灯 1.实验步骤 2.编程实现 3.编译下载 4.复位上电 练习&#xff1a;实现LED灯闪烁…

SPI写OLED(软硬件切换)

文章目录1、SPI读写原理2、模拟SPI驱动3、硬件SPI驱动4、源码1、SPI读写原理 还是一样的&#xff0c;关于屏幕资料&#xff0c;这里有个比较好的网站方便我们进行查阅 0.96inch SPI OLED Module 打开就可以看到我们需要的屏幕资料了 引脚说明 查看数据手册 写数据说明 翻译…

sd卡读写移植记录

文章目录1、spi驱动移植2、sdio驱动移植最近移植了大佬的SD卡驱动&#xff0c;这里做下记录 1、spi驱动移植 需求来源&#xff1a; 需要将数据发送出来&#xff0c;就是在掉电后还能对数据进行保存&#xff1a;使用eeprom的方案&#xff0c;但是这个东西他本身数据量就很小使…

STM32基于IIC的温湿度采集(AHT20)

文章目录前言IIC简介硬件IIC软件IICAHT20简介显示温湿度编程实现主函数硬件连接实验结果前言 本篇文章讲解了IIC协议的应用&#xff0c;区分了软件IIC和硬件IIC的区别&#xff0c;以及AHT20 向STM32 使用IIC 协议传输数据&#xff0c;并在上位机上面显示出来。 使用芯片&#x…

智能小车开发的重点之一电机该如何选型

一。智能小车的分类 二。电机的分类 无刷电机要好于有刷电机&#xff0c;优点&#xff1a;1. 没有损耗&#xff0c;有刷电机有寿命 2. 静音&#xff0c;有刷电机噪音比较大 。 无刷电机分为带霍尔传感器和不带霍尔传感器两种&#xff0c;因为无刷电机需要知道转子的位置来空着…

单片机(嵌入式)程序分层架构

目录 前言 嵌入式3层软件架构 嵌入式4层软件架构-1 驱动层 操作系统层 中间件层 应用层 嵌入式4层软件架构-2 硬件层 嵌入式微处理芯片 嵌入式存储器系统 嵌入式I/O接口 中间层 系统软件层 RTOS 文件系统 GUI 应用层 嵌入式5层软件架构1 嵌入式5层软件架构…

8kd4pwm

#include <stc8a8kd4.h>//代替reg52.h void HPWM_Init(); void HPWM_Set(char PWMchannel, unsigned int zkb); void PortMode() {P0M1 0; P0M0 0; //设置为准双向口P1M1 0; P1M0 0; //设置为准双向口P2M1 0; P2M0 0; //设置为准双向口P3M1 0; P3M0…

STM32串口通信配置(USART1+USART2+USART3+UART4) (转)

一、串口一的配置(初始化中断配置中断接收函数) 1 /*2 Copyright:3 Version:4 Author:5 Date: 2017/11/36 Description:7 配置独立看门狗初始化函数&#xff0c;在主函数中运行IWDG_ReloadCounter进行喂狗主函数必须在4s内进行一次喂狗不然系统会复位;8 函数功能是将接收固定长…

STM32新手入门教程

文章目录STM32简介STM的选型编写程序**Keil安装**烧写程序1. USB转串口烧写2. ST-Link烧写ST-Link仿真点亮LED灯GPIO简介GPIO配置GPIO电平输出程序编写闪烁LED灯程序编写实验结果STM32简介 简介参考自&#xff1a;小马哥STM32四轴学习平台–DragonFly四轴STM32单片机软件入门级…

基于STM32G431嵌入式学习笔记——二、LCD模块入门

一、LCD模块相关文件的导入 1.找到官方例程参考程序存放代码的路径 2.将与LCD有关的头文件复制到自己代码路径下的Inc中 而自己的头文件和代码文件位于路径中的Core文件夹中 forts.h为与字体相关的头文件&#xff1b;lcd.h则与LCD屏幕有关 3.将与LCD有关的.c文件复制到自己代…

嵌入式linux 和 用stm32进行的嵌入式开发 这两者之间有什么关联性吗?

作者&#xff1a;知乎用户 链接&#xff1a;https://www.zhihu.com/question/53880054/answer/164501004 来源&#xff1a;知乎 著作权归作者所有。商业转载请联系作者获得授权&#xff0c;非商业转载请注明出处。 谢邀。我在以前的答案回答过&#xff0c;嵌入式这个领域最大…

实际体会STM32的小端格式

偶尔发现STM32小端格式的证据 今早做调试过程中&#xff0c;对一个6字节的大数进行运算后放回数组中&#xff0c;发现数组中数据的排列是反着的&#xff0c;开始很诧异&#xff0c;仔细分析下便知怎么回事。大致代码如下&#xff1a; void my_function(uint32_t SrcAddr) {lo…

STM32引脚JTDO、JNTRST与JTDI作为普通IO口使用配置

使用Jlink向STM32烧录程序时&#xff0c;需要使用6个芯片的引脚(以STM32F103C8T6为例)&#xff0c;分别是PB4/JNTRST、PB3/JTDO、PA13/JTMS、PA14/JTCK、PA15/JTDI、NRST。标准的20针JLink接口如下图所示。 当芯片IO口资源比较紧张时&#xff0c;可选择SW模式烧录程序。SWD只…

STM32单片机CAN总线汽车灯光控制系统远近光刹车双闪

实践制作DIY- GC0151---CAN总线汽车灯光控制系统 基于STM32单片机设计---CAN总线汽车灯光控制系统 二、功能介绍&#xff1a; OLED主控板&#xff1a;STM32F103C系列最小系统OLED显示3个按键&#xff08;大灯开关、大灯自动/手动、大灯近光/远光&#xff09;左转按键右转按键双…

【正点原子STM32连载】 第四十五章 FLASH模拟EEPROM实验 摘自【正点原子】STM32F103 战舰开发指南V1.2

第四十五章 FLASH模拟EEPROM实验 STM32本身没有自带EEPROM&#xff0c;但是STM32具有IAP&#xff08;在应用编程&#xff09;功能&#xff0c;所以我们可以把它的FLASH当成EEPROM来使用。本章&#xff0c;我们将利用STM32内部的FLASH来实现第三十六章实验类似的效果&#xff0…

记录一次对STM32G4串口硬件FIFO的调试

记录一次对STM32G4串口硬件FIFO的调试 前言&#xff1a;通常我们使用串口接收多字节数据会使用中断和DMA两种方式。使用中断方式&#xff0c;每接收到一个字节就会触发一次中断&#xff0c;我们可以在中断函数里将接收到的这一字节保存在内存中然后等待其他程序处理&#xff0c…

构建库函数(STM32)

一、定义外设的各基地址&#xff0c;参考存储器映射 // 由存储器的映射可知&#xff0c;片上外设基地址0x4000 0000 #define PERIPH_BASE ((unsigned int)0x4000000)// APB2 总线的基地址 #define APB2PERIPH_BASE (PERIPH_BASE 0x10000)// AHB 总线基地址 #define AHBPERIP…

关于STM32 通用定时器初始化参数的理解

定时器初始化结构体定义为 typedef struct {uint16_t TIM_Prescaler; uint16_t TIM_CounterMode; uint16_t TIM_Period; uint16_t TIM_ClockDivision; uint8_t TIM_RepetitionCounter; } TIM_TimeBaseInitTypeDef; 其中&#xff1a; TIM_Prescaler为…

基于树莓派4B与STM32的UART串口通信实验(代码开源)

前言&#xff1a;本文为手把手教学树莓派4B与 STM32 的 UART 通讯&#xff0c;本次项目采用树莓派4B与 STM32 进行串口通讯&#xff0c;将彼此的数据进行互相传输。本篇博客同时提供了基于 YOLOv5-Lite 的目标检测数据联动&#xff0c;即将树莓派4B检测到的信息发送至 STM32&am…

基于STM32CubMX和HAL库的外设开发(四、按键控制)

文章目录基于STM32CubMX和HAL库的外设开发第四讲 按键控制一、原理图讲解二、具体实例讲解示例1&#xff1a;实现按下KEY1&#xff0c;LED3亮起&#xff0c;松开则熄灭1、GPIO配置2、代码实现示例2&#xff1a;实现按下KEY1&#xff0c;蜂鸣器发声&#xff0c;松开则停止发生1、…

基于STM32CubMX和HAL库的外设开发(二、点亮LED灯)

文章目录基于STM32CubMX和HAL库的外设开发第二讲 点亮LED灯&#xff08;一&#xff09;原理图讲解&#xff08;STM32F103ZE&#xff09;&#xff08;二&#xff09;时钟树配置&#xff08;三&#xff09;引脚GPIO配置&#xff08;四&#xff09;修改代码1、用户代码区介绍2、程…

基于STM32CubMX和HAL库的外设开发(一、建立STM32CubMX工程)

文章目录基于STM32CubMX和HAL库的外设开发第一讲 建立STM32CubMX工程一、为什么是CubeMX1、CubeMX实际意义&#xff1a;2、CubeMX简介&#xff08;1&#xff09;用户代码区介绍&#xff08;2&#xff09;程序分层结构二、新建工程的步骤&#xff08;一&#xff09;打开STM32Cub…

stm32单片机学习笔记(模块学习一——舵机SG90)

文章目录stm32单片机学习笔记&#xff08;模块学习&#xff09;第一讲 舵机SG90一、舵机简介二、舵机的原理三、舵机的常见用途四、舵机的代码PS:PWM预备知识stm32单片机学习笔记&#xff08;模块学习&#xff09; 第一讲 舵机SG90 一、舵机简介 最大承重90克 三个接口&#…

STM32 DMA简述

STM32 DMA简述 **DMA (Direct Memory Access) ** 直接内存存储器&#xff0c;在做数据传输时能够大大减轻CPU的负担。 DMA的作用 DMA提供了一个关于数据的高数传输通道&#xff0c;这个通道不占用CPU的资源。换句话说&#xff0c;通过DMA通道&#xff0c;你在传输大规模数据…

不得不玩的stm32直流电机驱动

stm32直流电机驱动与测速 说实话就现在的市场应用中stm32已经占到了绝对住到的地位&#xff0c;51已经成为过去式&#xff0c;32的功能更加强大&#xff0c;虽然相应的难度有所增加&#xff0c;但是依然阻止不了大家学习32的脚步&#xff0c;不说大话了这些大家都懂要不然也不…

STM32 禁用或开启总中断

今天把之前自己的一些在中断方面所产生的疑惑把具体的解决办法给大家分享一下&#xff0c;希望能够帮到大家。 STM32在使用时有时需要禁用全局中断&#xff0c;比如MCU在升级过程中需禁用外部中断&#xff0c;防止升级过程中外部中断触发导致升级失败。 ARM MDK中提供了如下两…

零基础入门stm32学习笔记

stm32作为现在嵌入式物联网单片机行业中经常要用多的技术&#xff0c;相信大家都有所接触&#xff0c;今天这篇就给大家详细的分析下有关于stm32的出口&#xff0c;还不是很清楚的朋友要注意看看了哦&#xff0c;在最后还会为大家分享有些关于stm32的视频资料便于学习参考。 什…

单片机时钟初始化配置函数

在前面推文的介绍中&#xff0c;我们知道STM32系统复位后首先进入SystemInit函数进行时钟的设置&#xff0c;然后进入主函数main。那么我们就来看下SystemInit()函数到底做了哪些操作&#xff0c;首先打开我们前面使用库函数编写的LED程序&#xff0c;在system_stm32f10x.c文件…

【理论篇】IC间通信的时序模型——系统同步、源同步和自同步

目录 1.系统同步 2.源同步 3.自同步 有三种用于两个IC间通信的时序模型——系统同步、源同步和自同步。 1.系统同步 系统同步&#xff1a;两片IC之间进行通信时&#xff0c;使用一个共用时钟&#xff0c;用于数据发送和接收。 如下图1&#xff0c;这种方法是多年来最常…

使用 STM32CubeMX 自动生成虚拟串口 CDC 程序不能正常工作的解决办法

扩大堆空间 ST 的 CDC 驱动使用了动态内存分配 malloc&#xff0c;默认情况下&#xff0c;STM32CubeMX 只给出 512 字节的堆空间&#xff0c;而 CDC 所需要的动态内存超过了 512 字节&#xff0c;因此默认配置下&#xff0c;自动生成的代码并不能正常工作&#xff0c;扩大堆空…

IAR在包含了头文件后,使用库函数,仍然提示函数未定义

报错信息&#xff1a;Error[Li005]: no definition for “HAL_ETH_DMATxDescListInit” [referenced from E:\IAR\A_FileTransfer\LiwipNoos\EWARM\LiwipNoos\Obj\ethernetif.o] 解决方案 1. 要在#include "stm32f7xx_hal_conf.h"的相应库函数头文件打开 2. 要在工…

基于stm32的超声波感应垃圾桶

一.应用模块&#xff08;1&#xff09;超声波模块1.介绍&#xff1a;超声波传感器模块上面通常有两个超声波元器件&#xff0c;一个用于发射&#xff0c;一个用于接收。电路板上有四个引脚&#xff1a;VCC GND Trig(触发)&#xff0c;Echo(回应) 主要参数&#xff1a;工作电压与…

LINUX-I.MX6U从零开始之1.10--DDR3

目录 文章目录目录一&#xff0c;啥是DDR31.1 RAM 随机存储器。1.2 ROM 只读存储器。1.3 SRAM 静态随机存储器。1.4 SDRAM 同步动态随机存储器。1.5 DDR->双倍速率 SDRAM二&#xff0c;购买DDR3&#xff0c;你要知道什么2.1 传输速率2.2 tRCD 参数2.3 CL参数2.4 AL参数2.5 t…

R9 STM32之ADC—电压采集

STM32之ADC—电压采集 简介 STM32f103 系列有 3 个 ADC&#xff0c;精度为 12 位&#xff0c;每个 ADC 最多有 16 个外部通道。其中 ADC1 和 ADC2 都有 16个外部通道&#xff0c;ADC3根据 CPU 引脚的不同通道数也不同&#xff0c;一般都有 8 个外部通道。 目录 STM32之ADC…

R8 STM32 SPI—读写串行 FLASH

SPI—读写串行 FLASH SPI 协议&#xff0c;即串行外围设备接口&#xff0c;是一种高速全双工的通信总线。它被广泛地使用在 ADC、LCD 等设备与 MCU 间&#xff0c;要求通讯速率较高的场合。 目录&#xff1a; SPI读写串行 FLASHSPI物理层协议层STM32的 SPI外设简介 SPI物理层…

Arduino下STM32串口通信及Stduino IDE试玩

文章目录前言下载安装Arduino IDE安装配置硬件连接Arduino IDE中的编程相关代码程序烧录实验结果Stduino试玩总结前言 Arduino是一款便捷灵活、方便上手的开源电子原型平台。它构建于开放原始码simple I/O介面版&#xff0c;并且具有使用类似Java、C语言的Processing/Wiring开…

STM32的USART串口通讯

文章目录寄存器与固件库的区别STM32的USART串口通讯串口设置的一般步骤串口输出与中断输出主函数调试结果总结寄存器与固件库的区别 基于寄存器的编程方式&#xff1a; 基于寄存器的编程方式是直接用语句操作寄存器。相对于固件库来说&#xff0c;如果想使用基于寄存器的编程方…

stm32f103c8t6最小系统PCB绘制

文章目录前言导入元件画出PCB板的形状放置元件并布线自动布线手动布线覆铜设置logoBOM表和网络表生成Gerber光绘文件总结前言 本篇文章也是小白创作哦~ 初学者可以跟着看看&#xff0c;步骤比较详细。绘制PCB是一个比较困难的过程&#xff0c;需要耐心坚持下去哦&#xff01; …

FreeRTOS移植及STM32下基于FreeRTOS的程序编写

文章目录前言FreeRTOS移植准备工作移植多任务程序task1&#xff1a;每间隔500ms闪烁&#xff08;变化&#xff09;一次LEDtask2&#xff1a;每间隔2000ms&#xff0c;向串口发送一次指令数据“HELLO WORLD!"task3&#xff1a;每间隔5000ms&#xff0c;从AHT20采集一次温湿…

MDK5及Proteus开发环境入门

MDK5及Proteus开发环境入门前言MDK5Proteus总结前言 想要学习ARM的嵌入式开发&#xff0c;就得先熟悉ARM的开发环境。本文讲到的开发环境就包括MDK5和proteus电路仿真软件。本文将简单的熟悉软件的操作&#xff0c;完成一个简单的仿真。 MDK5 创建keil MDK5工程文件可以参考&am…

直流编码电机双闭环(速度+角度)控制

目录 1、PID框图 2、pid控制器的表达式 3、传感器数据获取 4、硬件设计 5、工程配置 6、软件部分程序配置 7、调参过程记录 本文已更新&#xff0c;加上曲线调试&#xff0c;更好效果&#xff0c;更多内容&#xff0c;详情&#xff1a; 编码电机PID调试&#xff08;速度…

电赛必备技能___定时ADC+DMA+串口通信

一&#xff0c;TIM定时 ADC采样是stm32学习中的必备技能&#xff0c;但是初期学习ADC采样大多没有采样频率这中要求&#xff0c;都是只要采样到一个数据就显示出来或者发送到串口&#xff0c;这样有一些不足之处&#xff0c;就是当你需要采集一个固定的频率的信号是&#xff0c…

STM32__06—单通道ADC

一&#xff0c;ADC&#xff08;模数转化&#xff09; STM32芯片集成了12位逐次逼近型ADC模块&#xff0c;什么是逐次逼近型&#xff0c;简单来说就是内置了一个DAC模块用于输出一个电压与输入电压进行二分比较&#xff0c;通过DAC的数字量来确定输入电压的数值量。输入电压范围…

STM32__05—PWM控制直流电机

一&#xff0c;TB6612电机驱动模块 直流电机属于大功率器件&#xff0c;GPIO无法直接驱动&#xff0c;需要电机驱动模块配合&#xff0c;才能驱动直流电机. TB6612可以驱动2个直流电机。由IN1&#xff0c;IN2控制电机旋转方向&#xff0c;由PWM控制电机旋转速度。 二&#xf…

STM32__03—初识定时器

一&#xff0c;TIM简介 TIM的本质就是计数器&#xff0c;对输入的时钟进行计数&#xff0c;基准时钟为主频72MHZ&#xff0c;不分频时每秒可以产生72M个脉冲。TIM分高级&#xff0c;通用&#xff0c;基础定时器&#xff0c;我使用的是f102c8t6只有TIM1~TIM4四个定时器&#xf…

Cubeide新建RT-thread(3.1.5)工程记录

cubeide版本1.8&#xff0c;rt-thread版本3.1.5&#xff0c;实验平台正点原子精英板&#xff08;F103ZET6&#xff09; 声明&#xff1b;本文参考了rt-thread官方教程以及一些博主的记录&#xff0c;仅供学习记录使用&#xff0c;方便以后查阅。 1、准备rt-thread包 进入包管…

堆栈记录(stm32为例)

目录 1、堆栈是什么 2、堆栈的意义 1、堆栈是什么 使用keil对一个未编译的工程编译&#xff08;如果是编译过的不会这样打印&#xff0c;直接错误警告&#xff09; 其中 .map文件对其做了记录&#xff0c;在编译器&#xff08;这里是keil&#xff09;输出的路径下 其中RW是SRA…

stm32外设之ADC|DAC总结

文章目录一、DAC1、直接触发2、使用波形发生器3、DAC使用DMA来配置输出二、ADC1、stm32adc的理解2、ADC在CUBEMX中的配置了解3、单通道采集4、使用定时器触发5、多通道采集6、注入通道的使用7、使用双路ADC采集最近看了一张图觉得很有意思&#xff0c;可以看看我们目前的阶段hh…

AD原理图设计与Clion源码工具学习

目录一、AD绘制电路原理图1.准备工作2.绘制STM32F103C8T6原理图1.新建工程2.开始绘制3.STM32W5500的系统电路原理图设计二、Clion写点亮LED的程序1.配置环境2.实现LED点灯程序1.新建工程2.配置 CLion 工程文件3.添加代码4.编译烧录三、总结参考资料一、AD绘制电路原理图 1.准备…

基于STM32F103的OLED显示

目录一、了解SPI&#xff08;串行外设接口&#xff09;1.SPI简介2.SPI 特征3.SPI接口4.SPI功能描述1&#xff09;这里NSS引脚设置为输入2&#xff09;从选择(NSS)脚管理5.SPI工作原理二、使用0.96寸OLED显示屏显示数据三、修改程序实现显示字符1.姓名中文的点阵&#xff08;16*…

ARM汇编程序调试:基于MDK创建纯汇编语言的STM32工程

目录一、新建工程1、新建项目2、配置环境二、代码编译及调试1、在 TEST.s中添加如下的汇编代码2、程序编译3、进行Debug调试三、分析HEX文件1.扩展线性地址记录2.数据部分3.文件尾四、总结参考链接一、新建工程 1、新建项目 打开安装配置好的Keil5软件&#xff08;安装教程&a…

stm32待机唤醒原理

待机唤醒配置步骤&#xff1a; ①使能电源时钟。 因为要配置电源控制寄存器&#xff0c;所以必须先使能电源时钟。 RCC_APB1PeriphClockCmd(RCC_APB1Periph_PWR, ENABLE); ②设置WK_UP引脚作为唤醒源。 设置PWR_CSR的EWUP位&#xff0c;使能WK_UP用于将CPU从待机模式唤醒。 PWR…

基于Keil5创建STM32汇编语言工程

文章目录一、新建以及配置工程环境1.新建工程2.选择运行环境3.添加源文件二、汇编语言编写代码三、配置环境及代码运行1.仿真器设置以及编译2.程序调试四、hex文件的分析五、总结六、参考文献一、新建以及配置工程环境 1.新建工程 双击打开Keil5点击菜单栏的project&#xff…

I2C通信协议MPU6050

目录 I2C通信协议 硬件 软件 I2C时序 MPU6050 I2C通信协议 硬件 为了避免总线没协调好导致电源短路&#xff0c;配置为开漏输出&#xff0c;所有设备输出低电平不输出高电平&#xff0c;即右图。又为了避免高电平造成的引浮空&#xff0c;&#xff08;第三点&#xff09;总…

STM32菜鸟学习手册-DMA

DMA有什么用&#xff1f; 直接存储器存取用来提供在 外设和存储器 之间或者 存储器和存储器 之间的高速数据传输。无须CPU的干预&#xff0c;通过DMA 数据可以快速地移动。这就节省了CPU的资源来做其他操作。有多少个DMA资源&#xff1f; 有两个DMA控制器&#xff0c;DMA1有7个…

19.STM32睡眠模式

1.低功耗模式 就是CPU不需要继续执行时候&#xff0c;可以利用低功耗模式来节省功耗。 3种低功耗模式 1.睡眠模式内核停止&#xff0c;外设&#xff0c;系统时钟仍然运行. 2.停止模式&#xff0c;所有时钟停止&#xff0c;电源工作&#xff0c;但寄存器和SRAM内容保存。 3.待机…

18.STM32电源管理

1.STM32电源管理 1.保持系统正常稳定运行 2.实现特定条件下的底功耗模式 3.上电复位与掉电复位 当VDD/VDDA低于指定值VPDR/VPOR,器件无需外部复位&#xff0c;就会保持复位 启动的时候&#xff0c;上电的时候当低于POR&#xff0c;保持复位 关闭的时候&#xff0c;掉电的时候…

8080并口协议驱动解析(以中景园为例)

#include "lcd.h" #include "stdlib.h" #include "lcdfont.h" #include "usart.h" #include "delay.h"//管理LCD重要参数 //默认为竖屏 _lcd_dev lcddev;//写寄存器函数 //regval:寄存器值 void LCD_WR_REG(u16 reg) { #if …

海创配套电路板F103

海创电子配套电路板F103 https://www.bilibili.com/video/BV1N7411x7Yk?p4&vd_sourceab50fb2cad935bc4d9deeb7f9401fe48 把这个大的JTAG头&#xff0c;改为DAP下载口。 把USB通电口&#xff0c;改为TYPEC口&#xff0c;或者是MINIUSB口。

STM32(X)TFT显示屏(8080协议)

注意&#xff01;&#xff01;&#xff01; 如果是5V供电的MCU&#xff0c;需要在信号线串接120R的电阻 接口说明 8080时序 设置DC为命令/数据选择拉低片选读&#xff1a;在RD上升沿&#xff0c;读数据线写&#xff1a;在WR上升沿&#xff0c;写数据线 9341驱动时序 &…

STM(X) 输入捕获

硬件连接 外部连接一个按键&#xff0c;上下拉输入决定什么沿触发和电平捕捉 软件实现 extern u8 TIM2CH1_CAPTURE_STA; //输入捕获状态 extern u16 TIM2CH1_CAPTURE_VAL; //输入捕获值int main(void){ u32 temp0; NVIC_PriorityGroupConfig(NVIC_PriorityGro…

STM32(X) 外部中断

概述 stm32每一个IO口都可以作为外部中断用于GPIO的输入中断有16个&#xff0c;所以要进行中断线映射&#xff0c;注意映射是有规律的中断映射之后还要进行中断函数配置&#xff0c;注意存在中断函数公用的情况 硬件连接 仿照按键 程序设计 void EXTIX_Init(void) {KEY_In…

STM32(X)单个按键输入

概述 stm32内部有上拉和下拉电阻&#xff0c;所以不需要外接&#xff0c;只需要进行软件设置 支持连按的思路 传统51的思路 不支持连按的思路 设置标志位&#xff0c;只有在按键松开的时候标志位会进行更新 #include "delay.h" #include "sys.h" #in…

stm32入门(四): 串口

关于串口转换 转换串口的时候注意不同串口的挂载总线&#xff0c;注意把所有串口的名称都换掉 串口寄存器 USART-SR 状态寄存器&#xff1a;TC发送完成 RXNE 读数据寄存器非空USART-DR 数据寄存器 DR[8:0]USART- BRR 波特率 bit[15:4] 整数部分 bit[3:0]小数部分,两者和为us…

基于STM32CubMX和HAL库的外设开发(九、PWM输出)

文章目录基于STM32CubMX和HAL库的外设开发第九讲 PWM输出9.1 PWM模式9.2 CubeMX配置9.3 代码实现9.4 硬件连接与效果基于STM32CubMX和HAL库的外设开发 第九讲 PWM输出 9.1 PWM模式 PWM1&#xff1a;当CNT以递增的计数方式计数时&#xff0c;CNT<CCR通道有效PWM1&#xff…

keil 中加入c++编程 配置工程说明

转载&#xff1a;让你的 STM32Cube KEILV5 HAL库工程支持C开发_wmdscjhdpy的博客-CSDN博客_keil5支持c吗 前言 最近这段时间在弄一个新的STM32F4的项目&#xff0c;因为工程比较庞大&#xff0c;然后各种类型也比较复杂&#xff0c;在封装整理的时候就非常头疼&#xff0c;很…

stm32 ota升级

转载&#xff1a;STM32在线升级OTA&#xff0c;看这一篇就够啦~ - 云社区 - 腾讯云 (tencent.com) 简介 本文主要讲解在线升级(OTA)的基础知识, 主要是针对IAP OTA从原理分析, 分区划分, 到代码编写和实验验证等过程阐述这一过程. 帮助大家加深对OTA的认识. 1. OTA基础知识 …

记录ota升级固件-Ymodem方式升级 方法 以及 注意事项

1、首先要下载OTA Downloader软件包 问题&#xff1a;在用ENV下载的时候总是失败 解决方法&#xff1a;检查网络连接是OK的&#xff0c;用其他同事的电脑下载也是失败&#xff0c;后来直接通过github下载&#xff0c;后来用其他同事的电脑的另一个工程下载接可以&#xff0c;所…

基于STM32CubMX和HAL库的外设开发(五、串口通信)

文章目录基于STM32CubMX和HAL库的外设开发第五讲 串口通信一、串口的重要性二、cubeMX工程中串口的参数配置三、编写代码1、串口相关的常用函数&#xff08;1&#xff09;串口发送&#xff08;2&#xff09;串口接收2、应用功能实现&#xff08;补充&#xff09;串口调试助手的…

PID算法原理及模板讲解

很早都想写一栏关于PID算法的专栏&#xff0c;整个大学期间把谈恋爱的时间都拿来搞PID算法了&#xff08;这样你们还不信我是真的搞PID的嘛。。&#xff09;。为了学习PID算法买过平衡小车之家的平衡车&#xff08;最后拆成玩具了&#xff09;&#xff0c;买过正点原子的minifl…

利用DMA实现采样数据的直接搬运存储

尝试了下STM32的ADC采样&#xff0c;并利用DMA实现采样数据的直接搬运存储&#xff0c;这样就不用CPU去参与操作了。 找了不少例子参考&#xff0c;ADC和DMA的设置了解了个大概&#xff0c;并直接利用开发板来做一些实验来验证相关的操作&#xff0c;保证自己对各部分设置的理…

STM32入门系列-位带操作介绍

向大家介绍 STM32F1 的位带操作&#xff0c;让 STM32 的位操作和 51 单片机的位操作一样简单。 位带操作 在学习 51 单片机的时候就使用过位操作&#xff0c;通过关键字 sbit 对单片机 IO 口进行位定义。但是 STM32 没有这样的关键字&#xff0c;而是通过访问位带别名区来实现…

单片机stm32 USART串口实际应用解析

stm32作为现在嵌入式物联网单片机行业中经常要用多的技术&#xff0c;相信大家都有所接触&#xff0c;今天这篇就给大家详细的分析下有关于stm32的出口&#xff0c;还不是很清楚的朋友要注意看看了哦&#xff0c;在最后还会为大家分享有些关于stm32的视频资料便于学习参考。 什…

《野火RT-Thread内核实现与应用开发实战》笔记7. 支持时间片

1. 前期回顾 前面的实验中&#xff0c;每个优先级下面只有一个线程&#xff0c;系统调度是根据优先级来切换线程&#xff0c;当同一优先级下有多个线程时&#xff0c;系统又是如何进行调度的呢&#xff1f; 同一个优先级下有两个或两个以上线程的时候&#xff0c;线程支持时间…

《野火RT-Thread内核实现与应用开发实战》笔记4. 空闲线程与阻塞延时的实现

为什么要实现空闲线程与阻塞延时&#xff1f; 使用 RTOS 的很大优势就是榨干 CPU 的性能&#xff0c;永远不能让它闲着&#xff0c;线程如果需要延时也就不能再让 CPU 空等来实现延时的效果。 RTOS 中的延时叫阻塞延时&#xff0c;即线程需要延时的时候&#xff0c; 线程会放弃…

《野火RT-Thread内核实现与应用开发实战》笔记2. 临界段的保护

临界段的保护 在进入临界段之前&#xff0c;会先把中断关闭&#xff0c;退出临界段时再把中断打开。对于中断的操作&#xff0c;Cortex-M内核专门设置了一条CPS指令&#xff0c;有4种用法&#xff1a; CPSID I ; PRIMASK1&#xff0c;关中断 CPSIE I ; PRIMASK0&#xff0c;开…

STM32控制蜂鸣器间隔发出声音(响1s,关闭1s)

STM32控制蜂鸣器间断时间响 一.蜂鸣器介绍二 代码讲解2.1 初始化蜂鸣器引脚2.2 配置中断优先级和定时器2.3 中断处理2.4 main 函数 三.结语 一.蜂鸣器介绍 我现在这个蜂鸣器是有缘蜂鸣器&#xff0c;只能发出一种声音。而且是低电平触发&#xff08;根据你们自己的蜂鸣器决定&…

FreeRTOS笔记—第二章 内存管理

2.1 为什么要自己实现内存管理 为了让FreeRTOS更容易使用&#xff0c;内核对象一般都是动态分配&#xff1a;用到时分配&#xff0c;不使用时释放。使用内存的动态管理功能&#xff0c;简化了程序设计&#xff0c;不再需要小心翼翼地提前规划各类对象&#xff0c;简化API函数的…

STM32F103C8T6 串口3(USART3) 只能发不能收

问题原因&#xff1a; 今天因为上述问题 困扰一天&#xff01;最后发现是 PB8/9&#xff08;配置输出&#xff09;硬件短路了&#xff01; 问题现象&#xff1a; STM32F103C8T6 串口3(USART3) 只能发不能收&#xff01;接收引脚电平是一半电平 问题查找经过&#xff1a; 1、…

13- STM32CubeMx 配置U盘读写

1、 在main.c文件中 /* USER CODE BEGIN 0 */ 和 /* USER CODE END 0 */ 之间加入如下代码 /* Private user code ---------------------------------------------------------*/ /* USER CODE BEGIN 0 */ extern ApplicationTypeDef Appli_state; extern USBH_HandleType…

嵌入式单片机之stm32串口你懂了多少!!

stm32作为现在嵌入式物联网单片机行业中经常要用多的技术&#xff0c;相信大家都有所接触&#xff0c;今天这篇就给大家详细的分析下有关于stm32的出口&#xff0c;还不是很清楚的朋友要注意看看了哦&#xff0c;在最后还会为大家分享有些关于stm32的视频资料便于学习参考。 点…

stm32f103vct6捕捉高低脉宽

注意需要进行定时器的引脚复用&#xff0c;不然不得。 #include "al422b.h" #include "usart.h" u8 TIM3_CAPTURE_STA0; //输入捕获状态 u16 TIM3_CAPTURE_VAL; //输入捕获值u8 TIM4_CAPTURE_STA0; //输入捕获状态 u16 TIM4_CAPTURE_VAL; //输…

ccdV01_20220808

/* 编程环境&#xff1a;stc8a8k下载的时候&#xff0c;选择22.1184M内部晶振频率&#xff0c; 后面的串口都是基于此的波特率 Author:LMF date:2022/08/01_1200,V1.0 */ #include "stc8a8k.h" #define AL422BU15DataPin P0 sbit U15WRSTP3^4; sbit U15OEP4^3; sbit …

stc8a-22.1184-bu 步。。。。进。、电。额。机

#include <stc8a8k.h> #include <intrins.h>//晶振选择22.1184Mhz; //初始化定时器0&#xff0c;定时2ms进入中断&#xff1b;作为步进电机的驱动脉冲来源 //初始化定时器2&#xff0c;作为波特率发生器&#xff1b;sbit XPULP1^0; sbit XDIRP1^1; sbit XENAP1^2; …

DCMI 接口DMA 传送数据问题

最近在学习 DCMI接口 通过DMA将DCMI接口中的数据传送到内存中的一个数组中&#xff0c;DCMI 和 DMA都有自己的中断入口&#xff0c;DCMI有行同步中断 和帧同步中断&#xff0c;这两个中断都可以自动进入&#xff0c;但DMA2的传送完毕中断就是进不去&#xff0c;我知道DCMI中有个…

stc8a8k_串口1,接收,截取数据

环境设置&#xff1a; stc8a8k&#xff1b; 波特率115200&#xff1b; 晶振22.1184Mhz&#xff1b; 定时器2产生波特率&#xff1b; 代码例子 发送数据到电脑中&#xff0c;用printf函数&#xff1b; 将电脑发过来的字符串&#xff0c;按照指定格式&#xff0c;截取出数据&…

NUCLEO-L476RG开发板学习笔记汇总

基于HAL库的GPIO例程介绍 http://www.yiboard.com/thread-433-1-1.html 根据ST官方提供的STM32L4Cube的HAL库实现了使用USER按键控制LD2指示灯闪烁的功能&#xff0c;并对HAL库中的GPIO相关的函数进行了简单介绍。搭建MDK开发环境及创建第一个工程 http://www.yiboard.com/thr…

DS3231时钟模块使用,IIC协议实践。(基于STM32)

文章目录写在前面文件结构&#xff0c;方便扩展 和 代码管理。关于DS3231DS3231 寄存器设置代码softwareIIC.hsoftware.cDS3231.hDS3231.c总结不易&#xff0c;若对你有帮助&#xff0c;希望点赞收藏是&#x1f609;&#x1f44d;写在前面 因为毕业设计&#xff0c;需要用到记…

stm32F103R6实现流水灯参考源代码

#include "main.h" #include "gpio.h" void SystemClock_Config(void); void sleep(int a) {int i0,j0;for(i0;i<a;i){for(j0;j<2000;j);}} 真正发挥效果的是这个main函数// int main(void) {int i0;HAL_Init();SystemClock_Config();MX_GPIO_Init()…

极简人体感应芯片-DLT8P68SA-杰力科创

方案基本组成一个机械按键&#xff0c;一个数字人体感应&#xff0c;一路PWM口输出&#xff1b; 方案产品功能此款人体感应壁灯方案&#xff0c;主控芯片采用DLT8P68SA系列单片机&#xff0c;封装型号是8引脚SOP&#xff0c;通过设计电路&#xff0c;可以实现如下功能&#xf…

stm32启动文件startup_stm32f10x_md.s,拿走不谢

这个文件CSDN上找不到&#xff0c;找到的又藏着掖着要钱&#xff0c;我就当自己顺便记个笔记&#xff0c;老铁们直接复制拿去不谢哈 ;******************** (C) COPYRIGHT 2011 STMicroelectronics ******************** ;* File Name : startup_stm32f10x_md.s ;* A…

88-基于stm32单片机空调温湿度控制系统Proteus仿真+源码

资料编号&#xff1a;088 一&#xff1a;功能介绍&#xff1a; 1、采用stm32单片机OLED显示屏DHT11温湿度电机按键&#xff0c;制作一个温湿度采集&#xff0c;OLED显示相关数据&#xff0c;自动制冷制热空调&#xff1b; 2、通过按键设置温度值和工作模式&#xff08;制冷/制热…

STM32实战项目—楼宇人员计数系统

本文项目比较简单&#xff0c;目的是介绍一下红外对管的使用&#xff0c;程序设计也比较简单。因此&#xff0c;博主并没有将程序工程上传资源&#xff0c;如果有需要的话可以私信。 文章目录 一、任务要求二、实现方法2.1 红外对管简介2.2 进出人员检测 三、程序设计3.1 红外对…

STM32/AT32 MCO管脚输出时钟配置

前言&#xff1a;最近在学以太网通讯&#xff0c;发现RMII接口配置的时钟管脚有MCU自己输出&#xff0c;想要看看是怎么输出的&#xff0c;对此进行记录 1、交接项目项目上使用的是PA8管脚来输出时钟50MHZ&#xff0c;提供给上面refclk。 先看手册 PA8的复用功能具备将MCU时钟…

stm32mp157aaa裸机开发学习之led灯亮灭

asm-led.S .text .global _start _start: /**********LED1点灯**********//**********RCC章节初始化**********/ RCC_INIT:通过RCC_MP_AHB4ENSETR寄存器使能GPIOE组控制器 0x50000A28[4] 1ldr r0,0x50000A28 LED1 GPIOE10 LED3 GPIOE8ldr r1,[r0]orr r1,r1,#(0x1 << 4…

STM32——MCU简单介绍

文章目录 一、单片机基础简介1.MCU简介&#xff08;1&#xff09;MCU的组成&#xff08;2&#xff09;常见的MCU 2.STM32简介&#xff08;1&#xff09;STM32&STM8产品型号--各个字母的含义 3.如何查手册&#xff08;1&#xff09;数据手册芯片信息总线框图时钟树内存映射 …

小松的STM32教程(10)—— PWM

预备 学习目标 概述 PWM模式1和PWM模式2 模式说明PWM模式1CNT<CCR 是有效电平PWM模式2CNT>CCR 是有效电平 引脚映射 ARPE&#xff08;PreloadConfig函数&#xff09; ARPE1更新ARR立即生效ARPE0更新ARR下个周期生效 周期是ARR决定的&#xff0c;占空比是CCRx决定…

FAT文件系统(stm32)

ffconf头文件配置 diskio.c配置 外部函数 exfuns.c fattester.c 方便usmart 操作API 挂载-格式化-得到剩余容量&#xff0c;更多的是通过usmart来进行调试 usmart调试 添加代码

ARM寄存器(cortex-A),协处理器和流水线

cortex-A有40个32位寄存器&#xff0c;33是通用寄存器&#xff0c;7个是状态寄存器 寄存器描述未分组寄存器R0-R7分组寄存器R8-R14程序寄存器PCR15程序状态保存寄存器SPSR 未分组寄存器 分组寄存器 堆栈指针R13&#xff08;SP&#xff09; 链接寄存器R14&#xff08;LR&…

stm32之USART(总结)

串行通信 UART串口内部结构示意图 普中科技的详细介绍 中断知识补充 代码 #ifndef __USART_H #define __USART_H #include "stdio.h" #include "stm32f10x_usart.h" #define USART1_REC_LEN 200 //定义最大接收字节数 200extern u8 USART1_RX_BUF[US…

一种基于STM32利用始终定时实现延迟的方法

传统上我们常用delay函数进行延迟&#xff0c;然而这种方式有一个很大的弊端那就是需要占用相当长的时钟周期&#xff0c;此时原本该用于计算各类复杂算法的计算内核都要随着系统一起停下来&#xff0c;很不经济也容易造成问题处理不及时的后果。 事实上&#xff0c;我们只需要…

过来人都是怎么学习stm32的

不要去学STM32。我不是说STM32不好&#xff0c;而是这种为了学习单片机而去学习单片机的思路不对。 你问&#xff0c;如何系统地入门学习STM32&#xff1f; 本身就是一个错误的问题。假如你会使用8051 &#xff0c; 会写C语言&#xff0c;那么STM32本身并不需要刻意的学习。 …

基于STM32F2的工厂自动化应用

工业环境正在对嵌入式控制系统开发人员构成日益严峻的挑战&#xff0c;究其主要原因&#xff0c;当前系统和通信协议栈变得越来越复杂&#xff0c;系统实时性和安全要求越来越严格&#xff0c;同时&#xff0c;这种趋势直接影响到半导体元器件的特性和技术规格。   为克服这些…

单片机实现 printf 打印输出,和电脑端一样用

在学C语言时 printf 很好用&#xff0c;到了单片机/ARM时却不能用&#xff0c;那因为库中的 printf 是定向打印到显示屏的&#xff0c;所以我们把 printf 重新定向打印到串口就可以了&#xff0c;串口助手中就可以显示打印的内容&#xff01; 这样我们在单片机/ARM中就可以 像电…

W5500+STM32F103C8T6进行TCP通信(modbus)

目录酱一、W5500以太网模块介绍二、modbus通信三、从机代码四、总结参考链接一、W5500以太网模块介绍 Niren_W5500模块是一款基于WIZnet W5500芯片的以太网模块&#xff0c;是泥人电子继 Niren_W5100模块后设计的一块性能更好、性价比更高的以太网模块。模块集成硬件化TCP/IP协…

stc8其实会用的人,也是可以很快开发的。

stc有成熟的函数库&#xff0c;模板&#xff0c;所以对于熟练的人而言&#xff0c;也可以快速开发的。

STM32中static和extern的用法

static&#xff1a; A. static变量 称为静态变量。根据变量的类型可以分为静态局部变量和静态全程变量。 1. 静态局部变量 它与局部变量的区别在于: 在函数退出时, 这个变量始终存在, 但不能被其它 函数使用, 当再次进入该函数时, 将保存上次的结果。其它与局部变量一样。…

STM32 CAN 波特率计算分析

这里写目录标题 前言时钟分析时钟元到BIT 前言 CubeMX中配置CAN波特率的这个界面刚用的时候觉得非常难用&#xff0c;怎么都配置不到想要的波特率。接下来为大家做一下简单的分析。 时钟分析 STM32F4的CAN时钟来自APB1 在如下界面配置&#xff0c;最好配置为1个整一点的数。…

stm32 uart dma方式接收不定长度字符

一般处理&#xff1a; stm32 uart使用dma接收时&#xff0c;会有自己的数据流中断&#xff0c;数据流中断会调用HAL_UART_RxCpltCallback。但是数据流中断只会在HAL_UART_Receive_DMA函数指定的buffer满时才会触发。 接收不定长度字符&#xff0c;需要和uart的UART_IT_IDLE结…

arduino-esp32-点亮板载LED,闪烁LED。02

板载的LED&#xff0c;是GPIO2引脚。虽然没有原理图&#xff0c;其实原理图可以百度找。 这里记得板载LED是GPIO2管脚就好了。 步骤&#xff1a; 1、初始化管脚2是输出模式&#xff1b; 2、将管脚2闪烁高低就行了。 这里&#xff0c;我们打开arduino的例子&#xff0c;不用esp…

具有任务优先级的单片机任务调度器

这是一个模仿RTOS内核的非抢占式任务调度器&#xff0c;最大32级优先级&#xff08;可自定义级数&#xff09;、每级最大256个任务&#xff1b;集成软定时器功能&#xff08;需要一个硬定时器支持&#xff09;&#xff0c;分为定时单位10us和1ms两种&#xff0c;每种最大为256个…

STM32串口升级

STM32升级功能分为2部分&#xff0c;一部分是bootloader程序&#xff0c;另一部分是用户应用程序组成&#xff08;以STM32F103C8为例来说明 &#xff09; bootloader工程分析 启动文件的复位部分 ; Reset handler Reset_Handler PROCEXPORT Reset_Handler […

STM32F103VE RTT打印及错误代码打印实现

RTT LOG功能实现 首先要将rtt文件加入工程&#xff0c;如下 新建一个sdk_config.h加入工程&#xff0c;并加入以下内容 #ifndef SDK_CONFIG_H #define SDK_CONFIG_H#define STM_MODULE_ENABLED(module) \((defined(module ## _ENABLED) && (module ## _ENABLED)) ? 1…

战舰STM32F103开发板MDK communication error

现象描述 显示通信错误 于是我从新安装固件 成功安装固件后&#xff1a; 不重新插拔USB——MDK可通信 重新插拔USB——MDK communication error 所以就不能拔USB&#xff0c;老是刷固件多麻烦&#xff0c;于是求助了客服 排查 先确定板子没事 【阿波罗F429开发板串口下载…

Enterprise Architect:绘制基本包图(详细步骤)

1.从桌面上双击EA程序图片打开点击“文件”——>“新建项目...”在打开的“保存”对话框中命名并保存在“模型向导”中选中“Use Case”&#xff0c;点击“确定”项目创建完成 2. 选中“Use Case Model” &#xff0c;点击第三个“新建图”按钮&#xff0c;在打开的对话框中…

TMS320C6748_EDMA_SPI_FLASH

目录 1.主函数流程 2.外设初始化 2.1串口初始化 2.2 EDMA初始化 2.3 SPI初始化 3.请求EDMA通道 4.使能 4.1 使能SPI 4.2 写使能 4.1.设置EDMA发送的PaRAM寄存器 4.2.注册EDMA发送的回调函数 4.3.设置EDMA接收的PaRAM寄存器 4.4.注册EDMA接收的回调函数 4.5.asser…

TMS320C6748_GPIO_KEY_TIMER_EventCombine

组合事件中断初始化EventCombineInterruptInit();函数完成组合事件中断初始化&#xff0c;其中 // 使能定时器 /计数器中断 TimerIntEnable(SOC_TMR_1_REGS,TMR_INT_TMR34_NON_CAPT_MODE); 该函数使能了定时器中断。 SOC_TMR_1_REGS为(0x01C21000)&#xff0c;即timer1的地…

最简单DIY基于STM32的远程控制电脑系统①(电容触摸+按键控制)

STM32库函数开发系列文章目录 第一篇&#xff1a;STM32F103ZET6单片机双串口互发程序设计与实现 第二篇&#xff1a;最简单DIY基于STM32单片机的蓝牙智能小车设计方案 第三篇&#xff1a;最简单DIY基于STM32F407探索者开发板的MPU6050陀螺仪姿态控制舵机程序 第四篇&#xff1…

小松的STM32教程(5)—— 红外NEC协议

预备 概述 红外遥控器采用的是NEC协议&#xff0c;大家可以参考主函数中&#xff0c;为不同的按键定义不同的功能 remote.c #include "remote.h" #include "delay.h" #include "usart.h"//红外遥控初始化 //设置IO以及定时器4的输入捕获 voi…

基于STM32G431嵌入式学习笔记——一、LED模块入门

一、使用CubeMX创建工程 1.新建环境 2.找到我们想要的芯片 我们选择的芯片型号为STM32G431RBT6&#xff0c;可以从产品手册中查询&#xff08;比赛可以使用&#xff09; 3.配置时钟 比赛时对配置内部还是外部时钟没有强制要求&#xff0c;因此建议使用配置内置时钟&#xff1…

STM32CubeMX --- 独立看门狗

IWDGcubemx中的配置计算超时时间用户代码cubemx中的配置 首先我们需要知道iwdg看门狗的时钟源为内部40khz的时钟。这个关系到如何计算喂狗超时时间。 如下图所示&#xff0c;勾选“Activated”。可以根据自己的需求修改预分频系数和记满周期。 计算超时时间 参考&#xff…

STM32 NVIC之抢占优先级和响应优先级

NVIC:Nested Vectored Interrupt ControllerSTM32(Cortex-M3)中有两个优先级的概念——抢占式优先级和响应优先级&#xff0c;有人把响应优先级称作亚优先级或副优先级&#xff0c;每个中断源都需要被指定这两种优先级。具有高抢占式优先级的中断可以在具有低抢占式优先级的中断…

【我是学生,可以送我么】搭建树莓派4bJTAG调试平台jlink平替版

前言 最近在学习ARM64体系结构的相关知识&#xff0c;主要是根据笨叔的《ARM64体系结构编程与实践》&#xff0c;最先需要进行的就是搭建基础的环境&#xff0c;这种底层的体系结构学习一定要有配套的开发板&#xff0c;刚好手头有一个树莓派4b可以作为很好的学习平台&#xf…

STM32__01—GPIO介绍与点亮LED

一&#xff0c;GPIO GPIO中文名称为通用IO口&#xff0c;功能与IO口类似&#xff0c;用于输入与输出&#xff0c;STM32通过GPIO与外设连接&#xff0c;从而实现外设的通信与控制。本节使用的GPIO最简单的输入&#xff0c;输出功能&#xff0c;为高低电平的输出与输入电平的检测…

FLASH操作注意事项

1.操作一定要先擦后写 2.每页是1024个地址&#xff0c;起始地址0x08000000 3.擦除操作以页为单位&#xff0c;写操作则必须以16位宽度为单位&#xff0c;允许跨页写入。 4.STM32内置FLASH擦或写时&#xff0c;必须打开外部/内部高速振荡器。 5.FLASH可多次擦写10万次&#…

【单片机】STM32单片机,定时器的输入捕获,基于捕获的频率计,STM32F103

文章目录 简单介绍外部计数频率计TIM2 频率计 捕获TIM3 频率计 捕获TIM4 频率计 捕获TIM5 频率计 捕获 简单介绍 下面的定时器都具有输入捕获能力&#xff1a; 外部计数频率计 查看另一篇文章&#xff1a;https://qq742971636.blog.csdn.net/article/details/131471539 外部…

STM32 F4 手册

内核编程手册配合其他手册使用 主要阅读寄存器手册和固件库手册以及参考手册 权威指南缩略语需要记 权威指南是针对所有CM3内核而言的。 STM32参考手册&#xff0c;则是针对STM32而言的。 当然应该相信STM32参考手册。 其实他们不冲突&#xff0c;只是ST多加了个8分频进去而已 …

【入门嵌入式系统】基础知识梳理总结

目录1 嵌入式系统的概念1.1 定义一1.2 定义二2 嵌入式系统的分类2.1 嵌入式微处理器(Embedded Microprocessor Unit, EMPU)2.2 嵌入式微控制器(Microcontroller Unit, MCU)---即单片机2.3 嵌入式 DSP 处理器(Embedded Digital Signal Processor, EDSP)2.4 嵌入式片上系统(Syste…

关于STM32CubeIDE的代码优化等级

关于STM32CubeIDE的代码优化等级一、如何设置STM32CubeIDE代码优化等级&#xff1f;二、我遇到的问题一、如何设置STM32CubeIDE代码优化等级&#xff1f; 项目-属性-C/C构建-设置-工具设置-MCU GCC Compiler-Optimization 二、我遇到的问题 我的工程一开始的代码优化等级为…

【嵌入式操作系统】实验4:综合编程,智能小车控制

文章目录一、实验目的二、实验环境三、实验内容1.实验任务2.实验步骤总结一、实验目的 掌握PWM定时器的初始化方法&#xff0c;准确根据定时时间设定初始化化参数&#xff1b;掌握&#xff08;红外传感器&#xff09;中断初始化方法&#xff0c;响应中断服务函数&#xff1b;编…

87、基于STM32单片机的超市餐饮二维码 条形码摄像头识别结账扫码系统设计(程序+原理图+PCB源文件+参考论文+硬件设计资料+元器件清单等)

单片机主芯片选择方案 方案一&#xff1a;AT89C51是美国ATMEL公司生产的低电压&#xff0c;高性能CMOS型8位单片机&#xff0c;器件采用ATMEL公司的高密度、非易失性存储技术生产&#xff0c;兼容标准MCS-51指令系统&#xff0c;片内置通用8位中央处理器(CPU)和Flash存储单元&a…

56.裸板--spi协议

SPI协议详解&#xff08;图文并茂超详细&#xff09; - 知乎 spi协议时序图和四种模式实际应用详解 - 知乎 spi协议时序图和四种模式实际应用详解 - 知乎 做个学习记录&#xff0c;spi协议挺简单的&#xff0c;理解四种模式以及把时序图看懂就行 SPI跟uart其实很像&#xf…

【Freertos基础入门】2个Freertos的Delay函数

文章目录 前言一、vTaskDelay与vTaskDelayUntil二、示例代码总结 前言 本系列基于stm32系列单片机来使用freerots 任务管理是实时操作系统&#xff08;RTOS&#xff09;的核心功能之一&#xff0c;它允许开发者以并发的方式组织和管理多个任务。FreeRTOS 是一个流行的开源RTO…

STM32--ADC模数转换

文章目录 ADC简介逐次逼近型ADCADC框图转换模式数据对齐转换时间校准ADC基本结构ADC单通道工程代码&#xff1a; ADC简介 STM32的ADC&#xff08;Analog-Digital Converter&#xff09;模拟-数字转换器&#xff0c;是一种逐次逼近型模拟数字转换器&#xff0c;可以将引脚上连续…

(stm32)低功耗模式

低功耗模式 执行哪个低功耗模式的程序判断流程 标志位设置操作一定要在WFI/WFE之前&#xff0c;调用此指令后立即进入睡眠判断流程 模式对比 睡眠模式 停止模式 待机模式

正点原子嵌入式linux驱动开发——U-boot移植

uboot的移植并不是说从零开始将uboot移植到所使用的开发板或者开发平台上。这个对于我们开发者来说基本是不可能的&#xff0c;这个工作一般是半导体厂商做的&#xff0c;半导体厂商负责将uboot移植到他们的芯片上&#xff0c;因此半导体厂商都会自己做一个开发板&#xff0c;这…

STM32F10X_LD、STM32F10X_MD、STM32F10X_HD、STM32F10X_CL

在stm32f10x.h文件中可以发现以下Flash memory配置&#xff1a; /* #define STM32F10X_LD */ /*!< STM32F10X_LD: STM32 Low density devices */ /* #define STM32F10X_LD_VL */ /*!< STM32F10X_LD_VL: STM32 Low density Value Line devices */ /* #define STM3…

STM32 定时器复习

12MHz晶振的机器周期是1us&#xff0c;因为单片机的一个机器周期由6个状态周期组成&#xff0c;1个机器周期6个状态周期12个时钟周期&#xff0c;因此机器周期为1us。 51单片机常用 for(){__nop(); //执行一个机器周期&#xff0c;若想循环n us&#xff0c;则循环n次。 }软件…

初出茅庐的小李博客之STM32CubeMx驱动WS2812B实现幻彩(超详)

STM32CubeMx驱动WS2812B实现幻彩&#xff08;超详&#xff09; 1.创建基于STM32F03C8T6工程 1.1配置时钟 选择外部高速时钟源HSE 1.2配置系统时钟树使其达到最大时钟72MHz&#xff08;最大系统时钟&#xff09; 由时钟树可以知道APB1上定时器时钟频率是72MHz,实验使用的硬件…

基于STM32+微信小程序设计的环境监测系统(腾讯云IOT)

一、设计需求 基于STM32设计的环境检测系统(腾讯云物联网+微信小程序实现) 【1】项目背景 随着人们生活水平的提高,人们对生活环境的求越来越高尤其是在家居环境有害气体污染已经引起了人们的注意,温度和湿度控制等。有关研究人员发现,天然气主要组成部分为甲烷气,而且还有…

STM32 FREERTOS osDelayUntil()异常

问题&#xff1a; 在使用osDelayUntil&#xff08;&#xff09;进行固定延时时发现不起作用&#xff0c;程序不能按照预期的延时进行执行&#xff08;比延时要快&#xff09;。 #define taskMBSysManage_Delay_TIME 1000 TickType_t xLastWakeTime; xLastWakeTime xTaskGe…

驱动开发,IO多路复用实现过程,epoll方式

1.框架图 被称为当前时代最好用的io多路复用方式&#xff1b; 核心操作&#xff1a;一棵树&#xff08;红黑树&#xff09;、一张表&#xff08;内核链表&#xff09;以及三个接口&#xff1b; 思想&#xff1a;&#xff08;fd代表文件描述符&#xff09; epoll要把检测的事件…

S曲线步进电机控制算法 ChatGPT

S曲线步进电机控制算法代码 以下是一个简单的示例代码&#xff0c;演示如何使用S曲线算法控制步进电机&#xff1a; c #include "stm32f4xx.h" #include <math.h> // 步进电机控制引脚定义 #define STEP_PIN GPIO_Pin_0 #define DIR_PIN GPIO_Pin_1 // 步进…

【STM32】FreeRTOS软件定时器学习

软件定时器 FreeRTOS提供了现成的软件定时器功能&#xff0c;可以一定程度上替代硬件定时器&#xff0c;但精度不高。 实验&#xff1a;创建一个任务&#xff0c;两个定时器&#xff0c;按键开启定时器&#xff0c;一个500ms打印一次&#xff0c;一个1000ms打印一次。 实现&…

整型转换成十六进制或二进制字符串

一、将 u16Num 转换成 二进制 字符串 /* *********************************************************************************************** ,* Description : Int2BinS…

STM32—PWM开发SG90舵机

目录 PWM介绍 PWM输出模式&#xff1a; ​编辑PWM占空比&#xff1a; PWM周期与频率公式&#xff1a;​编辑 SG90舵机介绍 1. 什么是舵机 2. 怎么控制舵机 SG90舵机介绍实战 1. 在 SYS 选项里&#xff0c;将 Debug 设为 Serial Wire​编辑 2. 将 RCC 里的 HSE 设置为 …

STM32F40X之时钟树

一、时钟树概述 目前用到的STM32的许多片上外设都需要先打开相应的时钟&#xff0c;告诉当前这个器件是以什么频率在运行&#xff0c;而每个片上外设的时钟频率其实在出厂时已经固化。 二、时钟树框架 25M / 25 * 336 / 2 168M 系统时钟计算公式&#xff1a;SYSCLKPLLCLK H…

基于STM32设计的小龙虾养殖系统(带手机APP)

一、项目介绍 随着人们对健康生活需求的提高,小龙虾逐渐成为现代消费者餐桌上的一道风味佳肴,并且市场需求不断扩大。然而,小龙虾的养殖需要注意许多因素,其中最重要的就是水质条件。水质不良会导致小龙虾死亡率增加,降低养殖效益。因此,为了保证小龙虾的健康生长,必须…

stm32内 misc stm32f10x_hd stm32f10x_it stm32f10x_conf关系

STM32启动流程 初始位置 startup_stm32f10x_hd.s 查看源码 ;******************** (C) COPYRIGHT 2010 STMicroelectronics ******************** ;* File Name : startup_stm32f10x_hd.s ;* Author : MCD Application Team ;* Version :…

程序跑进了hardfault

经debug发现是结构体里字节没有对齐导致的&#xff0c;以前没有注意过这个问题&#xff0c;之后要养成习惯将占用字节小的变量放在前面&#xff0c;大的放后面。 问了gpt它的回答是&#xff1a; 结构体对齐是为了提高内存读写的效率和性能。当结构体成员变量没有按照对齐规则进…

野火霸天虎 STM32F407 学习笔记_2 寄存器介绍

寄存器 虽然正式编程没有必要用寄存器编程&#xff0c;通常都是库函数或者 hal 库。但是还是有必要学一下原理的。 寄存器映射 芯片视图如下。 丝印&#xff1a;芯片上印的信息。型号&#xff0c;内核&#xff0c;生产批次等。 引脚&#xff1a;左上角是有小圆点的&#x…

(2)STM32单片机上位机

使用VX小程序开发上位机&#xff0c; 样式如何创建&#xff1f; 在你所在页面 开辟空间 使用 view 在view 中 输入class 就是样式&#xff0c;在编辑样式的时候&#xff0c;如何寻找哪一块的样式 就是通过这个class寻找的 按钮使用switch

【stm32】stm32MX定时器

一、在STM32MXCube中配置参数 利用TIM2实现间隔定时&#xff0c;每隔0.2秒将LED1的开关状态翻转 二、在函数里面写翻转 1、寻找定时中断的回调函数 2、htim->Instance指的是*htim里面的实例 void HAL_TIM_PeriodElapsedCallback(TIM_HandleTypeDef *htim){if(htim->I…

stm32学习笔记:GPIO输入

1、寄存器输入输出函数 //读取输入数据寄存器某一个端口的输入值&#xff0c;参数用来指定某一个端口&#xff0c;返回值是 uint8_t类型&#xff0c;用来代表高低电平&#xff08;读取按键的值&#xff09;uint8_t GPIO_ReadInputDataBit(GPIO_TypeDef* GPIOx, uint16_t GPIO_…

程序卡死在void HardFault_Handler的解决办法

上午调试程序&#xff0c;把UWB的时间戳都转换成标准时间打出来了&#xff0c;但是发现&#xff0c;程串口输出一会之后就会停止&#xff0c;板子的灯也熄灭了。 时间戳转换标准时间代码如下&#xff1a; u8 TestBuff[150]; int OutCounter 0; double TxTimeStamp_Trans0;TxT…

stm32f103c8t9控制TFT显示屏

stm32f103c8t9控制TFT显示屏1.3寸TFT(LCD)彩色(65k 16位)显示屏7针 SPI0.96寸TFT(LCD)彩色(65k 16位)显示屏8针SPI 80-1601.3寸TFT(LCD)彩色(65k 16位)显示屏7针 SPI 话不多说&#xff0c;先上图展示这张图片上面接口从左往右依次是GND VCC SCL SDA RES DC BLK&#xff1a; 这…

stm32基础知识汇总,看有多少是没有深入学习的

STM32是一种功能比较强大的32位单片机&#xff0c;广泛应用于各种嵌入式设备中&#xff0c;由于它的普及性及丰富的资源&#xff0c;受到广大嵌入式开发者的喜欢&#xff0c;但要想学好用好STM32也并非易事&#xff0c;毕竟&#xff0c;相比8位、16位产品&#xff0c;STM32要复…

contains an incorrect path解决方法

在移植UCOSⅢ时出现如下报警 在确认头文件路径添加无误的情况下&#xff0c;发现是因为文件名的UCOSⅢ这个3不应用罗马数字Ⅲ&#xff0c;用字母I代替&#xff0c;改为UCOSIII并重新添加文件后错误消失。

UcosII移植

文章目录UCOS移植前准备UCOS源码文件整理UCOS源码修改1. os_cpu_c.c2. os_cpu_c.asm3. os_cfg.h4. includes.h5. app_cfg.hucos移植UCOS移植前准备 UCOS源码文件整理 新建UCOS-II文件夹&#xff0c;并在uCOS-II目录下新建3个目录文件夹CORE、PORT、CONFIG。 将源码Source文件…

STM32 硬件SPI 驱动 OLED

此篇本为原创&#xff0c;无奈oled相关文章太多 一、前期准备单片机&#xff1a;正点原子STM32F103mini板开发环境&#xff1a;MDK5.14库函数&#xff1a;标准库V3.5正点原子0.96 OLED模块 (BS10,BS20) &#xff1a;淘宝有售二、实验效果实验效果 三、硬件连接//VCC->3.3v /…

ADC模数转换 基本原理

ADC&#xff1a;模数转换器&#xff0c;将模拟信号&#xff08;0v&#xff0c;3v&#xff0c;6v等&#xff09;转换为表示一定比例电压值的数字信号&#xff08;1&#xff0c;2&#xff0c;3等&#xff09;。 STM32F10x ADC特点 12位逐次逼近型的模拟数字转换器。 最多带3个A…

STM32学习之keil5环境搭建

1.keil5是开发ARM内核芯片最常用的集成开发环境IDE。STM32开发环境的搭建主要分为两步&#xff1a; 第一步&#xff0c;安装Kile5.到keil官网下载&#xff1a;Keil Embedded Development Tools for Arm, Cortex-M, Cortex-R4, 8051, C166, and 251 processor families. 第二步…

STM32F407时钟从25M变成8M怎么变(时钟配置)

分三步&#xff0c;第一步&#xff0c;打开冰箱&#xff0c;第二步&#xff0c;把大象装进冰箱里&#xff0c;第三步&#xff0c;把冰箱门关上 哦&#xff0c;拿错剧本了。 第一步 stm32f4xx.h里&#xff0c;改成8M时钟。 第二步 分析一下&#xff1a; PLLP&#xff1a;用于…

STM32 NVIC中断优先级管理通过结构图快速理解

STM32 NVIC中断优先级管理通过结构图快速理解 &#x1f4d1;抢占优先级和响应优先级基本常识 &#x1f33f;抢占优先级的级别高于响应优先级。&#x1f33f;抢占优先级数值编号越小&#xff0c;所代表的优先级就越高&#xff1b;同理&#xff0c;响应优先级也是如此。&#x1…

C语言 LSB->MSB,MSB->LSB转换

32位整型数据bit位高低转换 unsigned int reverse(register unsigned int x) {x (((x & 0xaaaaaaaa) >> 1) | ((x & 0x55555555) << 1));x (((x & 0xcccccccc) >> 2) | ((x & 0x33333333) << 2));x (((x & 0xf0f0f0f0) >&g…

开源 | 30余套STM32单片机、嵌入式Linux、物联网、人工智能项目(开发板+教程+视频)

文末免费领取&#xff01; 30余套综合项目案例 STM32单片机、嵌入式、物联网、人工智能 项目文档源码视频 高校教学、学生毕设、个人项目练手 嵌入式实战项目推荐 15个嵌入式LinuxQt综合应用项目&#xff0c;涉及家居、医疗、农业等多种应用领域&#xff0c;案例中使用了嵌…

stm32之串口/蓝牙控制led灯

该文章记录学习stm32串口遇到的一些问题&#xff0c;完整代码地址。 一、项目描述 通过串口或蓝牙发送指令来控制led灯。 open ------> led 亮close ------> led 灭其它 -------> 反馈给串口或蓝牙错误指令 二、项目用到的模块 stm32 串口1,PA9(TX), PA10(RX)HC…

【正点原子STM32连载】第五十九章 T9拼音输入法实验(Julia分形)实验 摘自【正点原子】APM32F407最小系统板使用指南

1&#xff09;实验平台&#xff1a;正点原子APM32F407最小系统板 2&#xff09;平台购买地址&#xff1a;https://detail.tmall.com/item.htm?id609294757420 3&#xff09;全套实验源码手册视频下载地址&#xff1a; http://www.openedv.com/thread-340252-1-1.html## 第五十…

使用KEIL自带的仿真器仿真遇到问题解决

*** error 65: access violation at 0x40021000 : no read permission 修改debug选项设置为下方内容。

stm32-SPI协议

SPI协议详解&#xff08;图文并茂超详细&#xff09; SPI通讯协议 于是我们想有没有更好一点的串行通讯方式&#xff1b;相比较于UART&#xff0c;SPI的工作方式略有不同。 SPI是一个同步的数据总线&#xff0c;也就是说它是用单独的数据线和一个单独的时钟信号来保证发送端和…

基于单片机的蓝牙无线密码锁设计

博主主页&#xff1a;单片机辅导设计 博主简介&#xff1a;专注单片机技术领域和毕业设计项目。 主要内容&#xff1a;毕业设计、简历模板、学习资料、技术咨询。 文章目录 主要介绍一、系统总体设计1.1 系统设计要求1.2 系统设计思路 二、系统硬件设计2.1 设计原理2.2 主控模块…

SLAM从入门到精通(ROS和底盘Stm32的关系)

【 声明&#xff1a;版权所有&#xff0c;欢迎转载&#xff0c;请勿用于商业用途。 联系信箱&#xff1a;feixiaoxing 163.com】 学过Ros的同学&#xff0c;一般对subscribe、publish、话题、服务这些内容都比较熟悉。如果再熟悉一点的话&#xff0c;还会知道slam、move_base、…

Stm32_标准库_8_ADC_光敏传感器_测量具体光照强度

ADC简介 测量方式 采用二分法比较数据 IO通道 ADC基本结构及配置路线 获取数字变量需要用到用到光敏电阻的AO口&#xff0c;AO端口接在PA0引脚即可 测得的模拟数据与实际光照强度之间的关系为 光照强度 100 - 模拟量 / 40;代码&#xff1a; 完整朴素代码&#xff1a; #in…

AD教程(六)现有元件模型的调用

AD教程&#xff08;六&#xff09;现有元件模型的调用 导入现有原理图 Altium Schematic Document (.SchDoc) 直接拖入AD即可 直接用现有原理图生成原理图库 点击设计&#xff0c;选择生成原理图库&#xff0c;进入归类设置界面&#xff08;用原理图直接生成原理图库&#xf…

单片机设计基于STM32的空气净化器设计

**单片机设计介绍&#xff0c;1615[毕设课设]基于STM32的空气净化器设计 文章目录 一 概要二、功能设计设计思路 三、 软件设计原理图pcb设计图 五、 程序六、 文章目录 一 概要 此设计资料主要包含原理图、PCB、源程序、元器件清等资料&#xff0c; 二、功能设计 设计思路 …

ArduPilot开源代码之RCInput

ArduPilot开源代码之RCInput 1. 源由2. RCInput模块入口2.1 模块初始化2.2 任务线程 3. RCInput模块重要实现3.1 常规定义3.2 H743飞控默认3.3 RCInput::init3.4 RCInput::_timer_tick 4. 总结5. 参考资料 1. 源由 对航模的控制方法中最为直接的是通过遥控器RC进行控制。当然除…

STM32 F103C8T6学习笔记13:IIC通信—AHT10温湿度传感器模块

今日学习一下这款AHT10 温湿度传感器模块&#xff0c;给我的OLED手环添加上测温湿度的功能。 文章提供源码、测试工程下载、测试效果图。 目录 AHT10温湿度传感器&#xff1a; 特性&#xff1a; 连接方式&#xff1a; 适用场所范围&#xff1a; 程序设计&#xff1a; 设…

[笔记] Windows内核课程:保护模式《二》段寄存器介绍

文章目录 前言1、什么是段寄存器? 有哪些 ?2. 段寄存器的结构 前言 段寄存器&#xff0c;页寄存器 1、什么是段寄存器? 有哪些 ? 当我们用汇编读写某一个地址时: mov dword ptr ds:[0x123456],eax我们真正读写的地址是: ds.base 0x123456ES、CS、SS、DS、FS、GS、LDTR…

STM32使用PID调速

STM32使用PID调速 PID原理 PID算法是一种闭环控制系统中常用的算法&#xff0c;它结合了比例&#xff08;P&#xff09;、积分&#xff08;I&#xff09;和微分&#xff08;D&#xff09;三个环节&#xff0c;以实现对系统的控制。它的目的是使 控制系统的输出值尽可能接近预…

STM32(X)固件库解读之GPIO

待补充&#xff1a;中断输出函数原理&#xff0c;中断线原理详解&#xff0c;以太网原理 重映射原理&#xff0c;初始化原理 头文件结构&#xff08;gpio.h&#xff09; 端口速度枚举 typedef enum { GPIO_Speed_10MHz 1,GPIO_Speed_2MHz, GPIO_Speed_50MHz }GPIOSpeed_Type…

J-Link调试STM32F7不能下载程序到ITCM接口的Flash

mingdu.zheng at gmail dot com http://blog.csdn.net/zoomdy/article/details/78708490 问题描述 STM32F7的Flash可以在两个地址空间可见&#xff0c;一是AXIM接口的0x08000000处&#xff0c;二是ITCM接口的0x00200000处。如果将Flash定位到0x08000000处&#xff0c;使用J-L…

STM32CubeMX 配置stm32f429 lan8742a流程

转载&#xff1a;https://blog.csdn.net/weixin_43482414/article/details/108851083?utm_mediumdistribute.pc_relevant.none-task-blog-baidujs_title-3&spm1001.2101.3001.4242 原理图 功能 IO RMII_TX_EN PB11 RMII_TXD0 PG13 RMII_TXD1 PG14 RMII_RXD0 …

keil5生成bin文件配置方法

KEIL / MDK生成BIN文件的两种方式 1 KEIL工程配置入口 点击“魔术棒”图标&#xff08;Option for Target&#xff09; 在After Build/Rebuild选项卡中&#xff0c;勾选 "Run # 1",在后面输入框写入bin文件生成方式&#xff0c;见2.1、2.2 2 输出Bin文件配置 KEIL生…

嵌入式学习笔记(57)LCD如何显示图像

12.4.1像素(pixel) (1)像素就是组成图像的最基本元素&#xff0c;或者说显示中可以被控制的最小单位&#xff0c;整个图像就是由很多个像素组成的。 (2)像素可以被单独控制&#xff0c;或控制其亮或不亮&#xff08;单色屏&#xff09;、或控制其亮度强弱&#xff08;譬如亮5…

58.多线程编程对共享资源保护手段的使用

最近看了一些视频&#xff0c;看了下高手对多线程编程的操作&#xff0c;他们对共享资源保护手段使用的选择非常谨慎&#xff0c;结合以前的编程经历跟他们对比&#xff0c;才发现自己写的代码是多么糟糕。 这里仅做点心得总结&#xff0c;供自己日后回看&#xff0c;可能有不…

55.裸板的学习流程

做点感悟记录&#xff0c;不一定是正确的&#xff0c;仅是个人的感悟而已&#xff0c;曾经做过单片机&#xff0c;做过stm32&#xff0c;总感觉不得入门 后来学习了linux&#xff0c;才找到一些感觉。linux下的uboot是裸板的集大成者 arm架构的芯片一大堆,不管是是stm32&…

智能送药小车解说(国二)

最近很多同学找我要代码 所以我在这写一下&#xff0c;我用的数字识别部分是用的Sipeed的摄像头&#xff0c;我个人觉得烧写代码确实很麻烦&#xff0c;但是他的像素确实很好&#xff0c;我也开源了代码&#xff0c;这个链接(https://download.csdn.net/download/le123didi/41…

STM32F103ZET6

思路&#xff1a;1.核心板 &#xff1a; 德飞莱 stm32f103zet6 2.工具软件&#xff1a;Keil 5 注册机破解 需要关闭电脑上防火墙和病毒查杀 3.正点原子精英板程序源码 4.FLYMCU&#xff1a;烧录 相关设置&#xff1a; 5.打开文件: USER中的 .uvprojx后缀文件 6.烧录进去的是O…

【STM32】修改芯片型号后报 Error 的解决方案

背景 前几天有个新需求&#xff0c;使用 STM32 的标准库&#xff08;STD&#xff09;做个产品的例程。之前已经做了个 HAL 的&#xff0c;但人家客户不干&#xff0c;非要 STD 的。拖了一周&#xff0c;想起来了&#xff0c;今天就开始做&#xff0c;但是懒啊&#xff0c;直接…

STM32单片机——串口通信(轮询+中断)

STM32单片机——串口通信&#xff08;轮询中断&#xff09; 串口通信相关概念HAL库解析及CubeMX工程配置与程序设计常用函数介绍CubeMX工程配置HAL库程序设计&#xff08;轮询中断&#xff09;轮询数据收发中断收发数据 固件库程序设计及实现固件库配置流程结构体配置及初始化程…

基于STM32的超声波雷达

视频地址:1.基于STM32的超声波雷达-演示_哔哩哔哩_bilibili 备注:文档最后有所有文件的网盘地址 1. 项目展示 1.1. 最终效果 1.2. 项目硬件 全部必要硬件(左到右): ST-LINK调试器:调试下载程序stm32f103c8t6核心板1.8寸TFT显示器sg90舵机超声波传感器

电机应用开发-直流有刷电机电流环控制实现

目录 直流有刷电机电流环控制实现 硬件设计 直流电机电流环控制-位置式PID实现 编程要点 配置ADC可读取电流值 配置基本定时器6产生定时中断读取当前电路中驱动电机的电流值并执行PID运算 配置定时器1输出PWM控制电机 ADC数据处理 编写位置式PID算法 直流电机电流环控…

STM32L151C8T6 芯片数据手册

1. 芯片型号含义 2. Flash&#xff1a; 64KB 3. keil 配置ST-Link 烧录程序 4. keil 选择Flash 烧录算法 5. 系统主频 32Mhz 6. 时钟树 clock tree

python pymodbus库使用教程(以Modbus RTU为例)

文档&#xff1a; https://pymodbus.readthedocs.io/en/latest/ 源码&#xff1a; https://github.com/riptideio/pymodbus/ 文章目录 Python PyModbus库使用教程&#xff1a;以Modbus RTU为例介绍安装PyModbus配置串行连接导入必要的模块创建Modbus客户端实例 建立连接连接…

STM32——建工程

文章目录 一、建工程步骤1. 创建一个工程文件2. 里面创建四个文件3. Lib&#xff1a;存放标准库的.c和.h文件&#xff0c;其中inc放置.h文件&#xff0c;src放置.c文件4. Startup中存放驱动文件5.User文件中包含以下路径以下文件6.创建工程Project 一、建工程步骤 以STM32F10X…

STM32Cube新建工程点亮LED

1.选芯片如STM32F103ZET6 图1 2.选引脚 LED0-> PF8 LED1 ->PF9 图2 3.时钟设置外部时钟&#xff08;8MHZ&#xff09; 图4 图5 勾选&#xff1a;当PLL锁相环出错时会直接使用外部晶振&#xff0c;提高可靠性 注意&#xff01;&#xff01;APB1 最高36MHZ所以要两分频…

protues仿真时有时候串口虚拟中端不弹窗的问题

在使用proteus的时候&#xff0c;有时候你会发现点击调试开始运行后&#xff0c;串口虚拟终端没有自动弹窗的问题&#xff0c;其实照成这种现象的原因是你在使用的过程中移动了器件位置或者是对整个视窗使用鼠标滚动进行缩放了&#xff0c;如果要重新弹窗则需要进行以下操作: …

初出茅庐的小李博客之STM32CubeMx配置USART1增加打印功能

1.创建基于STM32F03C8T6工程 1.1配置时钟 选择外部高速时钟源HSE 1.2配置系统时钟树使其达到最大时钟72MHz&#xff08;最大系统时钟&#xff09; 配置串口1 生成代码 具体工程配置可参考上几篇博客&#xff0c;地址 初出茅庐的小李博客之STM32CubeMx驱动WS2812B实现幻彩&a…

STM32::关于项目启动的一些问题

一、概述&#xff1a; 启动文件就做了如下的几个主要功能 This module performs: - Set the initial SP //设置初始化堆栈空间 - Set the initial PC Reset_Handler //设置PC指针 - Set the vector table entries with the exceptions ISR address …

AD教程 (八)器件的复制和对齐

AD教程 &#xff08;八&#xff09;器件的复制和对齐 设置原理图工作区域 设置Sheet Size&#xff0c;将A4改为A3&#xff0c;增大原理图区域 按照原理图布局大致排布元件 框选需要复制的元件&#xff0c;按住Shift即可复制元件并拖动到合适的位置。如果元件需要对齐&#xf…

STM32F103RCT6学习笔记2:串口通信

今日开始快速掌握这款STM32F103RCT6芯片的环境与编程开发&#xff0c;有关基础知识的部分不会多唠&#xff0c;直接实践与运用&#xff01;文章贴出代码测试工程与测试效果图&#xff1a; 目录 串口通信实验计划&#xff1a; 串口通信配置代码&#xff1a; 测试效果图&#…

stm32无人机-飞行力学原理

惯性导航&#xff0c;是一种无源导航&#xff0c;不需要向外部辐射或接收信号源&#xff0c;就能自主进行确定自己在什么地方的一种导航方法。 惯性导航主要由惯性器件计算实现&#xff0c;惯性器件包括陀螺仪和加速度计。一般来说&#xff0c;惯性器件与导航物体固连&#xf…

STM32 TIM定时器,配置,详解(1)

计数器寄存器(TIMx_CNT)、预分频器寄存器(TIMx_PSC)、自动重载寄存器(TIMx_ARR)。 PSC预分频器&#xff0c;顾名思义&#xff0c;先预备一下分频&#xff0c;有时候频率过高&#xff0c;后面的定时器承受不住&#xff0c;就先用PSC先分频一下。如何分频的&#xff1f;将每接受到…

STM32设置为I2C从机模式(HAL库版本)

STM32设置为I2C从机模式&#xff08;HAL库版本&#xff09; 目录 STM32设置为I2C从机模式&#xff08;HAL库版本&#xff09;前言1 硬件连接2 软件编程2.1 步骤分解2.2 测试用例 3 运行测试3.1 I2C连续写入3.2 I2C连续读取3.3 I2C单次读写测试 4 总结 前言 我之前出过一篇关于…

cortex-A7核UART总线实验

uart.h&#xff1a; #ifndef __UART4_H__ #define __UART4_H__#include "stm32mp1xx_rcc.h" #include "stm32mp1xx_gpio.h" #include "stm32mp1xx_uart.h"//RCC/GPIO/UART4章节初始化 void hal_uart4_init();//发送一个字符函数 void hal_put_c…

PL端案例开发手册

目 录 前 言 1 工程编译、程序加载方法 1.1 工程编译 1.2 程序加载 2 led-flash 2.1 案例说明 2.2 操作说明 2.3 关键代码 更多帮助 前 言 本文主要介绍PL端案例的使用说明&#xff0c;适用开发环境&#xff1a;Windows 7/10 64bit、Xilinx Unified 20…

嵌入式学习笔记(58)程序运行为什么需要内存?

1.1.1.计算机程序运行的目的 程序 代码 数据 代码就是函数&#xff0c;表示加工数据的动作。 数据包括全局变量和局部变量&#xff0c;表示被加工的东西。 程序运行的目的要么重在数据结果&#xff08;有返回值&#xff09;&#xff0c;要么重在过程&#xff08;无返回值…

【RTOS学习】同步与互斥 | 队列

&#x1f431;作者&#xff1a;一只大喵咪1201 &#x1f431;专栏&#xff1a;《RTOS学习》 &#x1f525;格言&#xff1a;你只管努力&#xff0c;剩下的交给时间&#xff01; 同步与互斥 | 队列 &#x1f349;同步与互斥&#x1f366;同步&#x1f366;互斥 &#x1f349;队…

FreeRTOS入门教程(事件组概念和函数使用)

文章目录 前言一、事件组概念二、事件组和信号量&#xff0c;队列的区别三、事件组相关函数三、事件组应用示例1.等待多个事件2.任务同步 总结 前言 本篇文章将带大家学习什么是事件组以及如何使用事件组。 一、事件组概念 事件组通常是由一组位&#xff08;bits&#xff09…

STM32F4_SD卡

目录 前言 1. SDIO协议简介 2. SDIO命令及响应 3. SD卡的操作模式及切换 4. STM32的SDIO接口 5. SDIO结构体 6. SDIO相关寄存器 7. 实验程序 7.1 main.c 7.2 SDIO_Card.c 7.3 SDIO_Card.h 前言 在之前的单片机学习过程中&#xff0c;我们已经了解到了单片机系统都需…

STM32F4XX之串口

一、标准串口&#xff08;UART&#xff09;介绍 1、通信协议相关概念 1.1同步通信和异步通信 (1)同步通信&#xff1a;两个器件之间共用一个时钟线&#xff0c;要发送的数据在时钟的作用下一位一位发送出去。 &#xff08;2&#xff09;异步通信&#xff1a;指两个器件之间没…

STM32F103C8T6第二天:认识STM32 标准库与HAL库 GPIO口 推挽输出与开漏输出

1. 课程概述&#xff08;297.1&#xff09; 课程要求&#xff1a;C语言熟练&#xff0c;提前学完 C51 2. 开发软件Keil5的安装&#xff08;298.2&#xff09; 开发环境的安装 编程语言&#xff1a;C语言需要安装的软件有两个&#xff1a;Keil5 和 STM32CubeMX Keil5 的安装…

stm32(二十)IAP升级优化(双缓存,可恢复)

这次主要对STM32F103/Keil和LPC2478/IAR加了一个IAP在线升级功能&#xff0c; 主要记录一下自己的思路&#xff0c;无代码&#xff0c;实在是代码感觉没啥写的&#xff0c;都是一些网上很多流传的东西。 1、开发环境 Keilstm32f103JLINK 2、程序思路 在升级中&#xff0c;必…

MAX17058_MAX17059 STM32 iic 驱动设计

本文采用资源下载链接&#xff0c;含完整工程代码 MAX17058-MAX17059STM32iic驱动设计内含有代码、详细设计过程文档&#xff0c;实际项目中使用代码&#xff0c;稳定可靠资源-CSDN文库 简介 MAX17058/MAX17059 IC是微小的锂离子(Li )在手持和便携式设备的电池电量计。MAX170…

嵌入式系统中的FPGA

举个栗子 假设你有一台智能家居系统&#xff0c;其中的FPGA可以被类比为智能家居中的中央控制器。 智能家居系统&#xff1a; 定制家居逻辑&#xff1a; 你希望智能家居系统能够根据你的生活习惯、时间表和喜好自动控制灯光、温度、窗帘等设备。就像FPGA中可以根据需求重新配置…

【STM32】Systick定时器

一、STM32的5种定时器简介 1.独立看门狗&#xff08;IWDG&#xff09; VS 窗口看门狗&#xff08;WWDG&#xff09; 1.独立看门狗&#xff08;IWDG&#xff09; 独立看门狗&#xff1a;当没有到设定时间之前&#xff0c;给它喂了狗&#xff0c;就会回到初始值。 2.窗口看门狗…

if语句中的按位取反问题

&#x1f380; 文章作者&#xff1a;二土电子 &#x1f338; 关注公众号获取更多资源&#xff01; &#x1f438; 期待大家一起学习交流&#xff01; 文章目录 一、现象描述1.1 在C语言中&#xff08;非STM32&#xff09;1.2 STM32中运行 二、基础知识复习2.1 原码、反码和补…

正点原子嵌入式linux驱动开发——外置RTC芯片PCF8563

上一章学习了STM32MP1内置RTC外设&#xff0c;了解了Linux系统下RTC驱动框架。一般的应用场合使用SOC内置的RTC就可以了&#xff0c;而且成本也低&#xff0c;但是在一些对于时间精度要求比较高的场合&#xff0c;SOC内置的RTC就不适用了。这个时候需要根据自己的应用要求选择合…

Si4010 一款带有MCU SoC RF发射机芯片 无线遥控器

Si4010是一款完全集成的SoC RF发射机&#xff0c;带有嵌入式CIP-51 8051 MCU&#xff0c;专为1GHz以下ISM频带设计。该芯片针对电池供电的应用进行了优化&#xff0c;工作电压为1.8至3.6 V&#xff0c;待机电流小于10 nA的超低电流消耗。高功率放大器可提供高达10 dBm的输出功率…

#智能车项目(三)串口初始化

串口1初始化 初始化串口1PA9 PA10 流程 1、声明结构体 GPIO_InitTypeDef GPIO_InitStructure; NVIC_InitTypeDef NVIC_InitStructure; USART_InitTypeDef USART_InitStructure; 2、打开时钟 // 打开串口GPIO的时钟 RCC_AHB1PeriphClockCmd(RCC_AHB1Periph_GPIOA , ENABLE); /…

基于STM32的森林火灾监控系统设计

一、设计简述 基于STM32设计的森林火灾监控系统(OneNet) 1.1 项目背景 近年来,随着全球气候变暖和人类活动的不断增加,森林火灾成为全球性的重大灾害之一。据统计,每年全球因森林火灾造成的经济损失高达数十亿美元,严重威胁着生态环境和人民生命财产安全。如何及时预警和响…

STM32 USB CDC 虚拟串口

// 用虚拟串口(USB CDC VCP)感觉有些不稳定&#xff0c;尤其是下位机掉电后再上电&#xff0c;上位机虚拟的那个串口根本不能用&#xff0c;还有就是 // 必须等虚拟串口出来后且知道串口号上位机才可以执行打开操作// 上面是实际情况&#xff0c;但并不是STM32的USB不行&#x…

IO扩展芯片PCF8574的中断引脚的理解

The PCF8574 device provides an open-drain output (INT) that can be connected to the interrupt input of a microcontroller. An interrupt is generated by any rising or falling edge of the port inputs in the input mode. 在输入模式的时候&#xff0c;如果有引脚的…

STM32无人机-四轴四元数姿态解算与卡尔曼滤波

四轴四元数姿态解算 MPU6050是一种非常流行的空间运动传感器芯片&#xff0c;可以获取器件当前的三个加速度分量和三个旋转角速度。 什么是四元数 这部分很难&#xff0c;新手知道四元数的功能是将 6 轴传感器数据转化为三轴姿态角度数据即可。 四元数解算程序店家已经封装成…

MDK Keil开发时出现问题汇总与解决办法--实战成功解决

文章目录 问题1&#xff1a;Error :Flash Download failed "Cortex-M4" 出现无法烧录问题点击烧录时出现下述图片&#xff1a;问题分析&#xff1a;发现没有添加编程算法描述&#xff1a;正确的情况是下面的点击Add按钮&#xff0c;选择主Flash添加&#xff1a;编译后…

【学习FreeRTOS】第16章——FreeRTOS事件标志组

1.事件标志组简介 事件标志位&#xff1a;用一个位&#xff0c;来表示事件是否发生 事件标志组是一组事件标志位的集合&#xff0c; 可以简单的理解事件标志组&#xff0c;就是一个整数。 事件标志组的特点&#xff1a; 它的每一个位表示一个事件&#xff08;高8位不算&…

stm32之16.外设定时器——TIM3

----------- 源码 void tim3_init(void) {NVIC_InitTypeDef NVIC_InitStructure;TIM_TimeBaseInitTypeDef TIM_TimeBaseStructure;//使能TIM3的硬件时钟RCC_APB1PeriphClockCmd(RCC_APB1Periph_TIM3,ENABLE);//配置TIM3的定时时间TIM_TimeBaseStructure.TIM_Period 10000-1…

STM32 OLED屏幕显示详解

目录 1.OLED介绍 2.OLED如何显示一个点&#xff1f; 内存管理​编辑​编辑 页地址模式 水平地址模式​编辑 垂直地址模式 ​编辑 3.OLED显示图片 用到的库函数&#xff1a; 向OLED写命令的封装&#xff1a; 显示图片代码示例&#xff1a; 1.OLED介绍 OLED是有机发光…

FreeRTOS 任务调度和任务的状态

目录 什么是任务调度&#xff1f; FreeRTOS的任务调度规则是怎样的&#xff1f; 抢占式调度运行过程​编辑 时间片调度运行过程​编辑 任务的状态 任务调度和任务的状态案例分析 什么是任务调度&#xff1f; 调度器就是使用相关的调度算法来决定当前需要执行的哪个任务。…

Linux挂载与卸载 mount的解析

挂载点就是目录&#xff0c;这个目录是进入磁盘分区的入口。在挂载之前需要确定几件事&#xff1a;单一文件系统不应该被重复挂载在不同的挂载点上&#xff0c;单一目录不应该重复挂载多个文件系统&#xff0c;要作为 挂载点的目录&#xff0c;理论上应该是空目录&#xff1b;假…

基于ENC28J60+uIP1.0+STM32的UDP Server实现,以及主动发送数据,几个关键的问题可算整明白了!

ENC28J60&#xff0c;是一款SPI接口的以太网PHYMAC芯片&#xff0c;实现以太网物理层和MAC层硬件通信。uIP是一个TCP/IP软件协议栈&#xff0c;实现TCP、UDP、ARP、ICMP等网络协议。STM32F103RCT6通过SPI接口与ENC28J60通讯&#xff0c;并移植uIP协议&#xff0c;实现一个小型的…

【QandA C++】内存泄漏、进程地址空间、堆和栈、内存对齐、大小端和判断、虚拟内存等重点知识汇总

目录 内存泄漏 内存模型 、进程地址空间 堆和栈的区别 内存对齐 大端小端及判断 虚拟内存有什么作用 内存泄漏 概念: 是指因为疏忽或错误造成程序未能释放已经不再使用的内存的情况, 内存泄漏并不是指内存在物理上的消失, 而是应用程序分配了某段内存后, 因为设计错误…

keil仿真错误:*** error 65: access violation at 0x40021000 : no ‘write‘ permission

按下图打开&#xff1a; 进行修改&#xff1a; 我用的芯片是:STM32F103C8T6 开始仿真&#xff1a; 成功解决不能仿真问题

【STM32】TIM2的PWM:脉冲宽度调制--标准库

注意点&#xff1a; TIM_Period---->指要进行比较的值Compare TIM_Prescaler----> 指要进行分频的值【分频值/原始时钟值】 PWM是一种周期固定&#xff0c;脉宽可调整的输出波形。 https://www.cnblogs.com/brianblog/p/7117896.html 0.通用寄存器输出 1.捕获/比较通道…

基于stm32控制的ESP8266在设备模式下通讯

一、文章中要用的指令 指令作用ATUART115200,8,1,0,0之前的51通讯是9600&#xff0c;这里的321用的是115200&#xff0c;需要改一下波特率ATCWMODEXX是1代表station&#xff08;设备&#xff09;模式 &#xff0c;X是2代表AP&#xff08;路由&#xff09;模式 &#xff0c;X是…

三、Keil安装芯片包、下载固件库、建立STM32工程模板

目录 一、首先在Keil软件上安装好芯片包 二、下载官方固件库 三、建立基于固件库的Keil5工程模板 一、首先在Keil软件上安装好芯片包 STM32有很多系列的芯片&#xff0c;我们平常用的最多的是STM32F1系列的&#xff0c;因此安装F1系列的芯片包在我们初学时&#xff0c;只按照…

基于STM32的手势识别算法研究与应用

基于STM32的手势识别算法在人机交互和智能设备控制中具有重要的应用价值。本文将介绍基于STM32的手势识别算法的研究原理和实现步骤&#xff0c;并提供相应的代码示例。 1. 手势识别概述 手势识别是一种通过分析人体的手部动作和姿势来识别和理解人的意图的技术。基于STM32的…

stm32定时器输入捕获模式

频率测量 频率测量有两种方法 测频法&#xff1a;在闸门时间T内&#xff0c;对上升沿或下降沿计次&#xff0c;得到N&#xff0c;则评率fxN/T测周法&#xff1a;两个上升沿内&#xff0c;以标准频率fc计次得到N&#xff0c;则频率fx fc/N中界频率&#xff1a;测频法和测周法误…

CAN基础知识

CAN 简介 CAN 是 Controller Area Network 的缩写&#xff08;以下称为 CAN&#xff09;&#xff0c;是 ISO 国际标准化的串行通信 协议。在当前的汽车产业中&#xff0c;出于对安全性、舒适性、方便性、低公害、低成本的要求&#xff0c;各种 各样的电子控制系统被开发了出来…

STM32中Msp函数的意义

msp&#xff08;MCU Support Package&#xff09; 举个例子&#xff1a;串口初始化函数HAL_UART_Init()与串口底层初始化函数HAL_UART_MspInit() HAL_UART_Init()用于初始化串口通讯协议如波特率、有效位等 HAL_UART_MspInit()用于初始化于MCU相关的配置比如时钟、NVIC、GPI…

【STM32单片机】自动售货机控制系统设计

文章目录 一、功能简介二、软件设计三、实验现象联系作者 一、功能简介 本项目使用STM32F103C8T6单片机控制器&#xff0c;使用OLED显示模块、矩阵按键模块、LED和蜂鸣器、继电器模块等。 主要功能&#xff1a; 系统运行后&#xff0c;OLED显示系统初始界面&#xff0c;可通过…

STM32开发(二十九)STM32F103 数据手册 —— 高级定时器 TIM1 TIM8详解

👈《上一篇》  🏡《主目录》  👉《下一篇》 文章目录 定时器区别高级定时器功能重复计数器互补输出与死区刹车功能定时器区别 STM32 定时器分为三种: 基本定时器(TIM6/TIM7)主要功能: 定时 通用定时器是在基本定时器的基础上增加: 输入检测和输出PWN波(捕获、比…

一个奇怪的蓝牙模块分析记录

蓝牙标识PZ-BT11 从这个蓝牙通电后的表现可以看到有2个蓝牙&#xff0c;其中一个带有BLE标识&#xff0c;可能是一个双模蓝牙 首先这不是一个普通的JDY蓝牙&#xff0c; 因为普通JDY蓝牙只有1个蓝牙信号&#xff08;从手机搜索蓝牙&#xff09; 这可能是一个BLE蓝牙 因为B…

STM32通用定时器产生PWM信号

STM32通用定时器产生PWM信号 PWM信号stm32定时器PWM生成模式PWM配置基本步骤PWM周期计算CubeMX配置代码展现 本期内容我将展示使用STM32通用定时器产生PWM信号&#xff0c;这里以定时器3通道3为例 PWM信号 如果还不懂的话&#xff0c;可以看看 &#xff1a; “蓝桥杯单片机学习…

STM32 寄存器配置笔记——系统时钟配置 HSE as PLL

一、概述 本文主要介绍使用HSE高速外部时钟通过PLL倍频输出72MHZ的时钟作为系统时钟。下图为时钟树。 使用正点原子的开发板调试OSC_IN、OSC_OUT接的是8MHZ的晶振即为HSE时钟。 二、配置流程 1&#xff09;复位RCC相关的所有寄存器 复位内容是参考正点原子例程&#xff0c;按照…

【STM32】IAP升级00 预备知识

IAP&#xff08;In Application Programming&#xff09;简介 Flash够大的情况下&#xff0c;上电后的程序通过修改 MSP 的方式&#xff0c;可以在一块Flash上存在多个功能差异的程序。 IAP是为了在执行正常功能前&#xff0c;为了升级功能&#xff0c;提前运行的一段程序。这…

0022__STM32F103正点原子学习笔记系列——DMA

STM32F103正点原子学习笔记系列——DMA - 知乎

SPI3+DMA外设驱动-TFTLCD初始化

前言 &#xff08;1&#xff09;本系列是基于STM32的项目笔记&#xff0c;内容涵盖了STM32各种外设的使用&#xff0c;由浅入深。 &#xff08;2&#xff09;小编使用的单片机是STM32F105RCT6&#xff0c;项目笔记基于小编的实际项目&#xff0c;但是博客中的内容适用于各种单片…

shell编程学习整理(shell编程的概念,特殊字符,变量和运算)

基本概念 shell脚本编程可以认为就是一系列的命令的集合&#xff0c;可以说&#xff1a;会用Linux命令就会编写shell程序&#xff0c;但是这样的只是一些顺序执行的指令&#xff0c;比如&#xff1a;将某文件复制到另一个文件夹内&#xff0c;修改其权限&#xff0c;然后执行该…

C语言网络编程入门 socket

我们都有光明的未来 知识点&#xff1a;OSI模型&#xff0c;七层模型 &#xff1a;物理层 数据链路层 网络层 传输层 会话层 表示层 应用层物理层将信息编码成电流脉冲或者其他信号用于在网上传输数据链路层&#xff1a;物理编制 网络拓扑结构 MAC 在共享介质环境之中如何进行…

STM32f103入门(3)按键控制LED灯以及光敏传感器控制LED

按键控制 技术点 控制LED的 GPIO 设置为输出控制按键的GPIO 设置为上拉输入 按键部分代码 Key.c #include "stm32f10x.h" #include "Delay.h" void Key_Init(void){RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOB,ENABLE);GPIO_InitTypeDef GPIO_InitSt…

STM32 串口代码配置

一、首先开发板上关于串口1的引脚配置已经配置好了&#xff0c;位置在SYSTEM的 usart.c 文件中&#xff08;注意&#xff1a;只配置了串口1的&#xff0c;其他使用时需要自己配置&#xff09; 重要的是明白配置的参数都是什么意思&#xff0c;针对实现不同的串口功能有什么影响…

非接触式额温枪电子方案PCBA控制板设计

非接触式额温枪硬件结构。 红外温度传感器&#xff1a;用于采集人体/物体的温度&#xff0c;红外额温枪一般距离额头1~3cm处进行测量&#xff0c;采集到的数据经过信号放大电路进行放大&#xff0c;信号放大电路是可选项。 MCU微处理器&#xff1a;接受红外温度传感器的数据进行…

【STM32RT-Thread零基础入门】 3. PIN设备(GPIO)的使用

硬件&#xff1a;STM32F103ZET6、ST-LINK、usb转串口工具、4个LED灯、1个蜂鸣器、4个1k电阻、2个按键、面包板、杜邦线 文章目录 前言一、PIN设备介绍1. 引脚编号获取2. 设置引脚的输入/输出模式3. 设置引脚的电平值4. 读取引脚的电平值5. 绑定引脚中断回调函数6. 脱离引脚中断…

如何查看芯片手册

前言 昨天晚上和队友交流过程中&#xff0c;发现了自己有遗漏的学习重点——查看芯片手册。我回忆了我两年来的比赛经历&#xff0c;好像在这一技能模块还没有系统学习&#xff0c;能力不成熟&#xff0c;所以赶紧补一补。我的文章以stm32f103c8t6的芯片手册为例子&#xff0c;…

STM32 CubeMX (Freertos任务:创建、删除、挂起、恢复)第一步

STM32 CubeMX Freertos STM32 CubeMX &#xff08;Freertos任务&#xff1a;创建、删除、挂起、恢复&#xff09; STM32 CubeMX Freertos前言一、STM32 CubeMX 配置时钟树配置HAL时基选择TIM1&#xff08;不要选择滴答定时器&#xff1b;滴答定时器留给OS系统做时基&#xff09…

驱动开发,stm32mp157a开发板的led灯控制实验(优化),使用ioctl函数,让write/read函数的专注读写功能

1.实验目的 编写LED灯的驱动&#xff0c;在应用程序中编写控制LED灯亮灭的代码逻辑实现LED灯功能的控制&#xff1b; 2.LED灯相关寄存器分析 LED1->PE10 LED1亮灭&#xff1a; RCC寄存器[4]->1 0X50000A28 GPIOE_MODER[21:20]->01 (输出) 0X50006000 GPIOE_ODR[10]-&g…

功能强大、超低功耗的STM32WL55JCI7、STM32WL55CCU7、STM32WL55CCU6 32位无线远距离MCU

STM32WL55xx 32位无线远距离MCU嵌入了功能强大、超低功耗、符合LPWAN标准的无线电解决方案&#xff0c;可提供LoRa、(G)FSK、(G)MSK和BPSK等各种调制。STM32WL55xx无线MCU的功耗超低&#xff0c;基于高性能Arm Cortex-M4 32位RISC内核&#xff08;工作频率高达48MHz&#xff09…

OpenMV与STM32之间的通信(附源码)

本篇文章旨在记录我电赛期间使用openmv和stm32单片机之间进行串口通信&#xff0c;将openmv识别到的坐标传输给单片机。背景是基于2023年全国大学生电子设计大赛E题&#xff1a;舵机云台追踪识别。 单片机的串口通信原理我便不再详细讲解&#xff0c;下面直接上代码分析。 值得…

利用STM32CubeMX和keil模拟器,3天入门FreeRTOS(0) —— 创建工程

前言 &#xff08;1&#xff09;FreeRTOS是我一天过完的&#xff0c;由此回忆并且记录一下。个人认为&#xff0c;如果只是入门&#xff0c;利用STM32CubeMX是一个非常好的选择。学习完本系列课程之后&#xff0c;再去学习网上的一些其他课程也许会简单很多。 &#xff08;2&am…

电机应用-无刷直流电机

无刷直流电机 无刷直流电机&#xff08;Brushless Dirent Current Motor&#xff0c;简称BLDCM&#xff09;由电动机主体和驱动器组成&#xff0c;无电刷和无换向器&#xff0c;是除了有刷电机外用得最多的一种电机。 无刷直流电机不使用机械的电刷装置&#xff0c;采用方波自控…

基于STM32微控制器的巡线小车控制研究

## 一、引言 巡线小车是一种常见的智能车型&#xff0c;通常用于参加各类智能车比赛或者教学实验。本文将基于STM32微控制器对巡线小车进行控制研究&#xff0c;主要包括硬件设计和软件编程两个方面。通过该研究&#xff0c;将实现让巡线小车沿着指定轨迹巡线行驶&#xff0c;并…

STM32CUBEIDE生成hex文件 Release版本的下载不启动

现象描述&#xff1a; 使用STM32CUBEIDE生成hex文件&#xff0c;使用脱机下载器或者J-Flash下载到单片机中&#xff08;STM32F407&#xff09;单片机不启动。 测试其他的程序是可以启动的。 修改办法&#xff1a; 把Release版本切换到debug版本&#xff0c;重新编写&#xf…

FreeRTos延时函数xTaskDelayUntil的工作原理

通过一个简单的例子来解释 xTaskDelayUntil 的工作原理&#xff1a; 假设你有一个任务&#xff0c;需要每隔 100 个时钟节拍唤醒一次来执行某些操作。 初始化 pxPreviousWakeTime&#xff1a; 在任务开始时&#xff0c;你首先获取当前的时钟节拍数并将其赋值给 pxPreviousWa…

STM32:时钟树原理概要

在一般情况下只要在CubeIDE中将RCC下的高速时钟源设置成晶振&#xff0c;随后在时钟配置中把HCLK设置到最大频率&#xff08;比如STM32F103的最高频率是72MHZ &#xff09;&#xff0c;CubeIDE就会帮我们自动调节其它参数到合适的值。这样我们芯片就可以全速运行了。 一、时钟信…

栈回溯--在栈里挑出返回地址

GNU Arm Embedded Toolchain project files : GNU Arm Embedded Toolchain arm-none-eabi-addr2line -e F103_Moduel.axf -a -f 08000350 08001d94 0800260c 汇编中&#xff1a; ;HardFault_Handler ; PROC ; EXPORT HardFault_Handler …

STM32F103C8T6第5天:独立看门狗、窗口看门狗、dma实验

1. 独立看门狗IWDG介绍&#xff08;341.45&#xff09; 什么是看门狗&#xff1f; 在由单片机构成的微型计算机系统中&#xff0c;由于单片机的工作常常会受到来自外界电磁场的干扰&#xff0c;造成程序的跑飞&#xff0c;而陷入死循环&#xff0c;程序的正常运行被打断&#…

使用STM32+SPI Flash模拟U盘

试验目的&#xff1a;使用STM32F103C8T6 SPI Flash&#xff08;WSQ16&#xff09;实现模拟U盘的功能 SPI Flash读写说明&#xff1a; Step1 设置SPI1 用于读取SPI Flash&#xff1b; Step2&#xff1a;设置SPI Flash 的使能信号 Step3&#xff1a;使能USB通信 Step4&#xf…

stm32中断调用流程

USART1_IRQHandler(void)(中断服务函数) -> HAL_UART_IRQHandler(UART_HandleTypeDef *huart)(中断处理函数) -> UART_Receive_IT(UART_HandleTypeDef *huart) (接收函数) -> HAL_UART_RxCpltCallback(huart);(中断回调函数) HAL_UART_IRQHandler(UART_HandleTypeDef…

【云平台】STM32微信小程序阿里云平台学习板

【云平台】STM32微信小程序阿里云平台学习板 文章目录 前言一、立创EDA&#xff08;硬件设计&#xff09;1.主控STM32F103C8T62.ESP8266模块3.温湿度模块4.光照强度模块5.OLED显示模块6.PCB正面7.PCB反面8.3D视角正面9.3D视角反面 二、【云平台】STM32微信小程序阿里云平台学习…

STM32CubeMx+MATLAB Simulink点灯程序

STM32CubeMxMATLAB点灯程序 ✨要想实现在MATLAB Simulink环境下使用STM32&#xff0c;前提是已经搭建好MATLAB环境并且安装了必要的Simulink插件&#xff0c;以及对应的STM32支持包。 &#x1f33f;需要准备一块所安装支持包支持的STM32开发板. &#x1f516;具体支持包详情页…

stm32一种步进电机查表法驱动

文章目录 一、定时器基础频率二、驱动原理三、关键代码 对于stm32芯片来说&#xff0c;步进电机的驱动由于要在中断中不断计算下一次脉冲的时间而极其消耗算力&#xff0c;使用计算的方法对于芯片的算法消耗更高&#xff0c;特别是在f1这种算力比较低的芯片上&#xff0c;这时候…

[调试]stm32使用过程debug记录,持续更新ing

遇到的bug&#xff1a;无法在串口助手接收到stm32向主机输出的数据&#xff0c;串口-USB RX灯不闪烁&#xff1b; 分析&#xff1a;闪烁灯实际上为一个二极管&#xff0c;CH 插入电脑USB接口时&#xff0c;RX处于高电平&#xff0c;当数据传输时&#xff0c;拉低电平导致其闪烁…

STM32 IIC温湿度传感器(STH45)实验

SHT45 简介 .精度 ΔRH 1.0 %RH&#xff0c; ΔT 0.1 C • VDD 1.08 V …3.6 V • 平均电流&#xff1a;0.4 μA&#xff0c;空闲电流&#xff1a;80 nA • I2C FM、CRC 校验和、乘法。I2C 地址。 • 专利保护选项 [1]、PTFE 膜和可拆卸保护盖 • 工作范围&#xff1a;0 ……

STM32实现三个小灯亮

led.c #include"led.h"void Led_Init(void) {GPIO_InitTypeDef GPIO_VALUE; //???RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOC,ENABLE);//???GPIO_VALUE.GPIO_ModeGPIO_Mode_Out_PP;//???? ????GPIO_VALUE.GPIO_PinGPIO_Pin_1|GPIO_Pin_2|GPIO_P…

汽车信息安全--芯片厂、OEM安全启动汇总(2)

目录 1.STM32 X-CUBE-SBSFU 2.小米澎湃OS安全启动 3.小结 在汽车信息安全--芯片厂、OEM安全启动汇总-CSDN博客,我们描述了芯驰E3的安全启动机制,接下来我们继续看其他芯片、OEM等安全启动机制。 1.STM32 X-CUBE-SBSFU 该产品全称Secure Boot and Secure

使用STM32微控制器驱动LCD1602显示器

驱动LCD1602显示器是嵌入式系统常见的任务之一&#xff0c;而STM32微控制器因其灵活性和丰富的外设而成为了广泛采用的解决方案。在这篇文章中&#xff0c;我们将探讨如何使用STM32微控制器来驱动LCD1602显示器。我们将从STM32的GPIO配置、延时函数以及LCD1602的初始化和写入数…

STM32C8T6实现微秒延时函数delay_us

/* USER CODE BEGIN 0 */ void delay_us(uint32_t ii) {uint32_t temp;SysTick->LOADii*8;SysTick->VAL0x00;SysTick->CTRL0x01;//2号位1使用内核时钟do{tempSysTick->CTRL;}while(temp&0x01&&!(temp&(1<<16)));SysTick->CTRL0X00;SysTic…

STM32基础--NVIC中断控制器

一、NVIC是什么&#xff1f; NVIC是一种中断控制器。当一个中断正在处理时&#xff0c;另一个更高优先级的中断可以打断当前中断的执行&#xff0c;并立即得到处理。这种机制使得处理器在高速运行的同时&#xff0c;能够及时响应不同优先级的中断请求。 二、有哪些优先级&…

Keil文本对齐

摘要&#xff1a;通常我们写代码的时候&#xff0c;尤其是缩进和{}的使用&#xff0c;很多都需要自己手动去调整&#xff0c;如果有一个自动格式化代码的工具&#xff0c;每次编辑完代码&#xff0c;然后一键给将代码格式化&#xff0c;即省时又美观。为了解决这个问题&#xf…

STM32_SPI总线驱动OLED详细原理讲解

目录 这里写目录标题 第13章 Cortex-M4-SPI总线13.1 SPI总线概述13.1.1 SPI总线介绍13.1.2 SPI总线接口与物理拓扑结构13.1.3 SPI总线通信原理13.1.4 SPI总线数据格式 13.2 IO口模拟SPI操作OLED13.2.1 常见的显示设备13.2.2 OLED显示屏概述13.2.3 OLED特征13.2.4 显示原理13.2.…

嵌出式学习又一天

关于485通讯 485属于串口通信&#xff0c;属于物理层的&#xff0c;规定为2线&#xff0c;半双工的多点通信标准&#xff0c;它的电气特性不一样&#xff0c;用缆线两端电压差值来表示传递信号&#xff0c;rs485仅仅规定了接收端和发送端的电气特性&#xff0c;没有规定任何数据…

09 STM32 - PWM

9.1 PWM简介 脉冲宽度调制(Pulse Width Modulation,简称PWM)&#xff0c;是利用微处理器的数字输出来对模拟电路进行控制的一种非常有效的技术。简单一点&#xff0c;就是对脉冲宽度的控制。 9.2 PWM波原理 如下图所示&#xff0c;使用定时器定时&#xff0c;从0开始&#x…

Proteus仿真stm32f103r6输出PWM/正弦波

资料下载地址&#xff1a;Proteus仿真stm32f103r6输出PWM/正弦波 一、仿真图 Proteus仿真stm32f103r6输出PWM/正弦波 二、程序 #include "pbdata.h"u16 fre; void RCC_Configuration(void); void GPIO_Configuration(void); void TIM3_Configuration();void Dela…

AT24C02读写操作 四

想把at24c02存储的内容在串口上打印的头文件与源文件 uart.h文件 #ifndef __USART_H #define __USART_H #include "stdio.h" #include "sys.h" #define USART_REC_LEN 200 //定义最大接收字节数 200 #define EN_USART1_RX …

STM32之002--软件安装 Keil

文章目录&#xff1a; 一、安装 Keil 二、注册 三、安装芯片支持包 一、安装 Keil 重点 1&#xff1a; 安装时&#xff0c;不能使用中文路径&#xff0c;否则无法正常使用!! 重点 2&#xff1a; 不要安装 V5.36 及以上的版本&#xff0c;其默认AC6编译器&#xff0c…

高速CAN总线 A C节点竞争总线时 电压分析(共ABC三个节点)

CAN 收发器放大图 ABC三节点框图如下图&#xff1a; 图① 简化过程同<<高速CAN总线 A节点发送 B节点接收 电压分析>> A C节点同时发送显性电平 如下图: 图② A C 节点同时发送显性电平, 则 4 个三极管全部导通, 假定三极管压降0.5V 则电路简化如下图.(导通分析参…

什么是DMA?DMA究竟有多快!

原文来自公众号&#xff1a;工程师看海 直接内存访问&#xff08;Direct Memory Access&#xff0c;DMA&#xff09;&#xff1a;在计算机体系结构中&#xff0c;DMA 是一种数据传输方式&#xff0c;允许外部设备直接访问计算机的内存&#xff0c;而无需通过中央处理单元&#…

RT-Thread:STM32 PHY 调试,使用软件包 WIZNET 驱动 W5500

说明&#xff1a; 1. 本文记录使用 RT-Thread 软件包 WIZNET驱动 W5500 的调试笔记。 2. 采用 RT-Thread Studio 工程 STM32F407VET6 芯片&#xff0c;W5500 PHY芯片&#xff0c;两者之间使用SPI接口链接 。 注意&#xff1a; 1.在按流程建立工程&#xff0c;和移植完 wizn…

PCF8563转STM32 RTC避坑指南

问题一&#xff0c;时间读取错误 原因&#xff0c;读写时间必须Time在前&#xff0c;Date在后 HAL_RTC_GetTime(&hrtc, &time, RTC_FORMAT_BCD); HAL_RTC_GetDate(&hrtc, &date, RTC_FORMAT_BCD); HAL_RTC_SetTime(&hrtc, &time, RTC_FORMAT_BCD); …

STM32 CAN学习(一)

STM32 CAN CAN协议简介 CAN是控制器局域网络(Controller Area Network)的简称&#xff0c;它是由研发和生产汽车电子产品著称的德国BOSCH公司开发的&#xff0c;并最终成为国际标准&#xff08;ISO11519&#xff09;&#xff0c;是国际上应用最广泛的现场总线之一。CAN总线协…

STM32——通用计时器

通用计时器框图 1.时钟源 1&#xff09;内部时钟(CK_INT) 2&#xff09;外部时钟模式 1&#xff1a;外部输入引脚(TIx)&#xff0c;x1&#xff0c;2&#xff08;即只能来自于通道 1 或者通道 2&#xff09; 3&#xff09;外部时钟模式 2&#xff1a;外部触发输入(ETR) 4&#…

RT-Thread Studio文件消失不见或被排除构建

不得不说RT-Thread Studio里面配置真多&#xff0c;今天我同事的电脑发现根本没有被画斜杠的文件夹&#xff0c;导致我想移植f1的写内部flash这个&#xff08;可以看上一个文章&#xff09;时候不能直接点击属性排除构建&#xff0c;然后在网上查找的时候也没怎么找到说法&…

STM32 拔开stlink 程序无法跑

解决办法&#xff1a; 1.有的人没有拔stlink和单片机的排线导致RESET被拉低&#xff0c;导致无法跑&#xff0c;所以只要把排线拔掉就行 2.我拔掉排线后&#xff0c;程序依旧无法跑&#xff0c;所以我将stlink对应的3V3引到电源模块的3V3&#xff0c;就可以工作了

LVGL——标签部件

目录 一、标签部分组成 二、创建标签部件 三、设置文本 四、改变文本样式 五、文本过长处理 六、代码案例 一、标签部分组成 主体&#xff08;LV_PART_MAIN&#xff09; 滚动条&#xff08;LV_PART_SCROLLBAR&#xff09; 选中的文本&#xff08;LV_PART_SELECTED&#xf…

STM32 MCU的易坑点收集

IIC配置中的Clock No Stretch Mode Clock Stretch Mode时钟延长模式&#xff1a; 时钟延长是一个术语&#xff0c;某些从设备可以把时钟线拉低&#xff0c;主设备发现自己释放时钟线之后时钟线还没有变成高电平&#xff0c;就会停止发送数据&#xff0c;然后等待从设备释放时钟…

AutoSAR入门:应用背景及简介

1、应用背景 在我们现在的汽车行业里面&#xff0c;汽车电子的发展过程中&#xff0c;我们发现有一些新的趋势汽车电子系统的复杂性不断增长。 我们现在可以看到车辆有越来越多的功能&#xff0c;那么这些功能呢&#xff0c;也在往这个控制器上进行集中&#xff0c;比如说我们现…

STM32+2.9inch微雪墨水屏(电子纸)实现显示

本篇文章从硬件原理以及嵌入式编程等角度完整的介绍了墨水屏驱动过程&#xff0c;本例涉及的墨水屏为2.9inch e-Paper V2,它采用的是“微胶囊电泳显示”技术进行图像显示&#xff0c;其基本原理是悬浮在液体中的带电纳米粒子受到电场作用而产生迁移&#xff0c;从而改变显示屏各…

STM32cubemx对FreeRTOS的适配(工程模板配置)

文章目录 前言一、工程的创建二、什么是CMSIS三、STM32cubemx生成的FreeRTOS工程分析总结 前言 本篇文章将带大家使用STM32cubemx对FreeRTOS进行工程模板的配置。 一、工程的创建 1.开始工程的创建&#xff1a; 2.芯片型号选择&#xff1a; 3.修改时钟为TIM8&#xff1a; …

STM32 pack STM32F系列开发包下载/stm32 Cube Keil V5 开发pack突然丢失

文章目录 1.做STM32开发时,经常发现下载的DEMO代码无法打开,ST自带的更新库软件根本连不上服务器,每次到此都非常恼火。即使进入到官方下载,但每次下载时间超级长都是几小时级别的,如果上面的链接满足不了,那就花几个积分,去下边的链接下载吧。1.各种安装包下载链接下载…

stm32学习总结:5、Proteus8+STM32CubeMX+MDK仿真串口并使用串口打印日志(注意重定向printf到串口打印的问题)

stm32学习总结&#xff1a;5、Proteus8STM32CubeMXMDK仿真串口并使用串口打印日志&#xff08;注意重定向printf到串口打印的问题&#xff09; 文章目录 stm32学习总结&#xff1a;5、Proteus8STM32CubeMXMDK仿真串口并使用串口打印日志&#xff08;注意重定向printf到串口打印…

使用下载代替物理串口输出-STM32 Debug (printf) Viewer

使用下载代替物理串口输出-STM32 Debug 硬件要求配置方法代码要求打印输出结果 硬件要求 STM32的PB9、PB10引脚的串口1通常用作其他功能使用后&#xff0c;无法通过printf()函数打印输出想要调试输出查看变量或调试信息。现已使用另外一种方法实现printf()函数打印输出。 ST…

STM32启动流程详解(超全,startup_stm32xx.s分析)

单片机上电后执行的第一段代码 1.初始化堆栈指针 SP_initial_sp 2.初始化 PC 指针Reset_Handler 3.初始化中断向量表 4.配置系统时钟 5.调用 C 库函数_main 初始化用户堆栈&#xff0c;然后进入 main 函数。 在正式讲解之前&#xff0c;我们需要了解STM32的启动模式。 STM32的…

C++ 在.h文件中包含头文件和在.cpp文件中包含头文件有什么区别?前置声明

现有两个文件Test.h 和Test.cpp #include 在Test.h中包含 和在Test.cpp中包含有什么区别? 1、在cpp文件中包含.h文件&#xff0c;要么你要用到这个头文件中的函数或者类&#xff0c;要么就是实现这个头文件&#xff1b; 2、.h —就是为了放一堆声明所产生的东西。 如果是定义…

STM32的以太网外设+PHY(LAN8720)使用详解(7):以太网数据接收及发送测试

0 工具准备 1.野火 stm32f407霸天虎开发板 2.LAN8720数据手册 3.STM32F4xx中文参考手册 4.Wireshark1 以太网数据接收测试 1.1 以太网数据接收测试&#xff08;轮询&#xff09; 我们在主循环内轮询RX DMA描述符标志位查看是否接收到了数据&#xff0c;如果接收到了则将数据…

STM8入门|第一个工程

开发软件 不支持Keil&#xff0c;使用IAR for STM8&#xff0c;注意 IAR系列有很多种 STM8对应软件是 IAR for STM8 软件下载&#xff1a; 官网下载地址&#xff0c;官网版本下载比较麻烦&#xff0c;可以按教程网盘地址下载。 下载安装教程&#xff1a; https://www.cnblogs…

【RTOS】快速体验FreeRTOS所有常用API(1)工程创建

目录 一、工程创建1.1 新建工程1.2 配置RCC1.3 配置SYS1.4 配置外设1&#xff09;配置 LED PC132&#xff09;配置 串口 UART13&#xff09;配置 OLED I2C1 1.5 配置FreeRTOS1.6 工程设置1.7 生成代码1.8 keil设置下载&复位1.9 添加用户代码 本工程皆在快速体验FreeRTOS所有…

STM32 基础知识(探索者开发板)--135讲 ADC转换

ADC定义&#xff1a; ADC即模拟数字转换器&#xff0c;英文详称 Analog-to-digital converter&#xff0c;可以将外部的模拟信号转换 ADC数模转换中一些常用函数&#xff1a; 1. HAL_ADC_Init 函数 HAL_StatusTypeDef HAL_ADC_Init(ADC_HandleTypeDef *hadc); 初始化ADC 形参&…

STM32 GD32 瑞萨 psoc 等单片机 无线wifi蓝牙最佳解决方案

新联鑫威一系列低功耗高性价比sdio wifi/蓝牙combo的模块CYWL6208 , CYWL6312, CYW6209等可以搭配stm32 各种型号例如以下,支持sta/ap/apsta&#xff0c;双模蓝牙的应用&#xff0c;支持rt-thread, freertos, Azure RTOS, Linux, Android系统. 稳定强&#xff0c;功耗低&#x…

【完整流程】实现STM32+ESP8266+MQTT+阿里云+APP——【第二节-编写STM32程序初步实现ESP8266上云发布订阅消息】

&#x1f31f;博主领域&#xff1a;嵌入式领域&人工智能&软件开发 前言&#xff1a;本节实现&#xff0c;硬件连接STM32与ESP8266&#xff0c;编写STM32程序通过at命令方式实现STM32ESP8266与阿里云物联网平台发布订阅消息&#xff0c;本节最终实现初步的发布订阅消息…

计算机导论06-人机交互

文章目录 人机交互基础人机交互概述人机交互及其发展人机交互方式人机界面 新型人机交互技术显示屏技术跟踪与识别&#xff08;技术&#xff09;脑-机接口 多媒体技术多媒体技术基础多媒体的概念多媒体技术及其特性多媒体技术的应用多媒体技术发展趋势 多媒体应用技术文字&…

STM32传输FPGA业务

1、实现功能&#xff1a; FPGA芯片两个信号管脚分别是TTL_RX与TTL_TX&#xff0c;stm32读取FPGA采集信号TTL_RX的数据&#xff0c;再写到FPGA中通过TTL_TX发送出去&#xff0c;实现FPGA串口功能 2、大概方法&#xff1a; ①、FPGA中FIFO_RX和FIFO_TX,分别负责TTL_RX采集接收与…

STM32-03-STM32HAL库

文章目录 STM32HAL库1. HAL库介绍2. STM32Cube固件包3. HAL库框架结构4. 新建HAL版本MDK工程 STM32HAL库 1. HAL库介绍 HAL库 HAL&#xff0c;英文全称 Hardware Abstraction Layer&#xff0c;即硬件抽象层。HAL库是ST公司提供的外设驱动代码的驱动库&#xff0c;用户只需要调…

【STM32】STM32学习笔记-USART串口协议(25)

00. 目录 文章目录 00. 目录01. 串行通讯与并行通讯02. 全双工、半双工及单工通讯03. 同步通讯与异步通讯04. 通讯速率05. 通信接口06. 串口通信07. 硬件电路08. 电平标准09. 串口参数及时序10. 串口时序11. 附录 01. 串行通讯与并行通讯 按数据传送的方式&#xff0c;通讯可分…

研旭开发板资料下载地址--DSP28335资料

研旭电气开发板网盘资料 温馨提示:南京研旭提供两种网盘下载方式,第一种是奶牛快传(下载速度快,但偶尔会出现下载不了的情况),第二种是百度网盘(下载速度较慢,偶尔会出现链接失效的情况),请自行选择合适的下载方式,如有其它问题请旺旺联系客服解决哦! 1、研旭F28…

STM32介绍

STM32 是意法半导体推出的 32 位 ARM Cortex-M 内核微控制器系列&#xff0c;具有高性能、低功耗、可靠性强等特点&#xff0c;广泛应用于工业控制、智能家居、汽车电子、医疗设备等领域。本文将详细介绍 STM32 系列的特点、性能指标、开发工具和应用领域等方面。 一、STM32 系…

基于 STM32 的 MPU6050 姿态计算方法及应用

基于STM32的MPU6050姿态计算方法可以通过融合陀螺仪和加速度计的数据来实现。在本文中&#xff0c;我们将介绍通过MPU6050获取姿态数据&#xff0c;并结合姿态解算算法实现姿态估计的方法&#xff0c;并提供相应的代码示例。 1. 硬件连接及库配置 首先&#xff0c;我们需要将…

普中STM32-PZ6806L开发板(HAL库函数实现-USART2 中断接收)

简介 实现USART2 的 中断接收&#xff0c; 发送数据。电路原理图 USART2接线 原理图USART2 在主芯片引脚 实物图 其他知识 APIs stm32f1xx_hal_uart.h /* 堵塞发送, pData是发送数据, Size发送数据大小, Timeout是超时时间 */ HAL_StatusTypeDef HAL_UART_Transmit(UAR…

江科大STM32 下

目录 ADC数模转换器DMA直接存储器存取USART串口9-2 串口发送接受9-3 串口收发HEX数据包 I2CSPI协议10.1 SPI简介W25Q64简介10.3 SPI软件读写W25Q6410.4 SPI硬件读写W25Q64 BKP、RTC11.0 Unix时间戳11.1 读写备份寄存器BKP11.2 RTC实时时钟 十二、PWR12.1 PWR简介12.2 修改主频1…

STM32-DAC

DAC 数字/模拟转换模块&#xff0c;把输入的数字编码转换成对应的模拟电压输出。 在常见的数字信号系统中&#xff0c;大部分传感器信号被转化成电压信号&#xff0c;而ADC把电压模拟信号转换成易于计算机存储、处理的数字编码&#xff0c;由计算机处理完成后再由DAC输出电压模…

STM32循迹小车原理介绍和代码示例

目录 1. 循迹模块介绍 2. 循迹小车原理 3. 循迹小车核心代码 4. 循迹小车解决转弯平滑问题 1. 循迹模块介绍 TCRT5000传感器的红外发射二极管不断发射红外线当发射出的红外线没有被反射回来或被反射回来但强度不够大时红外接收管一直处于关断状态&#xff0c;此时模块的输出…

普中STM32-PZ6806L开发板(HAL库函数实现-TIM5 设置 PWM input, 获取频率跟占空比)

简介 初始化 TIM5 为 PWM input CH1&#xff0c; 获取输入PWM的频率和占空比电路原理图 连线 将 PC7 与 PA0使用跳线进行连接 其他知识 APIs /* Blocking mode: Polling */ HAL_StatusTypeDef HAL_TIM_IC_Start(TIM_HandleTypeDef *htim, uint32_t Channel); // 堵塞捕获开…

江科大STM32

目录 STM32简介 STM32简介 我们主要学习的就是STM32的外设。 NVIC&#xff1a;内核里面用于管理中断的设备&#xff0c;比如配置中断优先级这些东西SysTick&#xff1a;内核里面的定时器&#xff0c;主要用来给操作系统提供定时服务的&#xff0c;STM32是可以加入操作系统的&am…

STM32 基于 MPU6050 的飞行器姿态控制设计与实现

基于STM32的MPU6050姿态控制设计是无人机、飞行器等飞行器件开发中的核心技术之一。在本文中&#xff0c;我们将介绍如何利用STM32和MPU6050实现飞行器的姿态控制&#xff0c;并提供相应的代码示例。 1. 硬件连接及库配置 首先&#xff0c;我们需要将MPU6050连接到STM32微控制…

【STM32】STM32学习笔记-编码器接口测速(20)

00. 目录 文章目录 00. 目录01. 预留02. 编码器测速接线图03. 编码器测速程序示例04. 程序下载05. 附录 01. 预留 02. 编码器测速接线图 03. 编码器测速程序示例 Encoder.h #ifndef __ENCODER_H #define __ENCODER_Hvoid Encoder_Init(void); int16_t Encoder_Get(void);#en…

STM32F4X SDIO(四) SDIO控制器

STM32F4X SDIO&#xff08;四&#xff09; SDIO控制器 STM32F4X SDIO控制器SDIO控制器框图SDIO控制器时钟适配器寄存器FIFO控制单元命令路径数据路径 SDIO寄存器SDIO控制相关寄存器SDIO电源控制寄存器 (SDIO_POWER)SDIO时钟控制寄存器 (SDIO_CLKCR)SDIO_CK相位 SDIO命令响应相关…

基于stm32f103c8t6连接阿里云上传信息

很抱歉,作为一个AI助手,我无法提供一篇基于STM32F103C8T6连接阿里云上传信息的完整博客文章。但我可以为您提供一个大概的步骤概述和一些关键点,帮助您构思或撰写这样的博客: 标题:利用STM32F103C8T6单片机实现与阿里云IoT平台的数据传输 --- **引言** 简述STM32微控制…

【STM32单片机】迷宫游戏设计

文章目录 一、主要功能二、软件设计三、实验现象联系作者 一、主要功能 本项目使用STM32F103/F407单片机控制器&#xff0c;TFTLCD触摸屏、按键等。 主要功能&#xff1a; 系统运行后&#xff0c;TFTLCD显示游戏界面&#xff0c;可按下KEY_UP键进入游戏&#xff1b; 系统内置…

【STM32】| 02——常用外设 | I2C

系列文章目录 【STM32】| 01——常用外设 | USART 【STM32】| 02——常用外设 | I2C 失败了也挺可爱&#xff0c;成功了就超帅。 文章目录 前言1. 简介2. I2C协议2.1 I2C物理连接2.2 I2C通信协议2.2.1 起始和停止信号2.2.2 数据有效性2.2.3 数据传输格式2.2.4 从机地址/数据方…

基于STM32的水质在线监测系统(论文+源码)

1.系统设计 本次水质在线监测系统选用STM32单片机作为整个系统的主控制器&#xff0c;整个系统集成了温度传感器、浊度传感器、PH传感器&#xff0c;用于对环境内部的水温、水质、水资源的PH值来进行实际数据的检测工作&#xff0c; 2.实物效果

HAL库STM32串口开启DMA接收数据

STM32CubeMx的配置 此博客仅仅作为记录&#xff0c;这个像是有bug一样&#xff0c;有时候好使&#xff0c;有时候不好&#xff0c;所以趁现在好使赶紧记录一下&#xff0c;很多地方用到串口接收数据&#xff0c;DMA又是一种非常好的接收方式&#xff0c;可以节约CPU的时间&…

CMSIS-RTOS在stm32使用

目录&#xff1a; 一、安装和配置CMSIS_RTOS.1.打开KEIL工程&#xff0c;点击MANAGE RUN-TIME Environment图标。2.勾选CMSIS CORE和RTX.3.配置RTOS 时钟频率、任务栈大小和数量&#xff0c; 软件定时器. 二、CMSIS_RTOS内核启动和创建线程。1.包含头文件。2.内核初始化和启动。…

步进电机基本原理详解

步进电机基本原理 步进电机是一种将电脉冲信号转换成相应角位移或线位移的电动机。 区别于以电压或电流作为控制信号&#xff0c;被控制量是转速的电动机。 每输入一个脉冲信号&#xff0c;转子就转动一个角度或前进一步&#xff0c;其输出的角位移或线位移与输入的脉冲数成正…

FreeRTOS学习之路,以STM32F103C8T6为实验MCU(1-1:移植)

学习之路主要为FreeRTOS操作系统在STM32F103&#xff08;STM32F103C8T6&#xff09;上的运用&#xff0c;采用的是标准库编程的方式&#xff0c;使用的IDE为KEIL5。 注意&#xff01;&#xff01;&#xff01;本学习之路可以通过购买STM32最小系统板以及部分配件的方式进行学习…

485 实验

485(一般称作 RS485/EIA-485)隶属于 OSI 模型物理层&#xff0c;是串行通讯的一种。电气特性规定 为 2 线&#xff0c;半双工&#xff0c;多点通信的类型。它的电气特性和 RS-232 大不一样。用缆线两端的电压差值 来表示传递信号。RS485 仅仅规定了接受端和发送端的电气特性。它…

STM32-串口解析框架

STM32 UART 是最基础的通信接口。本文介绍一种基于 STM32 UART 的通信协议解析框架。与其说是一种解析框架&#xff0c;不如说是一种解析架构&#xff0c;一种解析逻辑更为准确。 测试环境&#xff1a; Master MCU: STM32F103RCT6Slave Module&#xff1a;尚鑫航 SXH485 H200…

模拟数字转换器

本节主要介绍以下内容&#xff1a; ADC简介 ADC功能框图详解 参考资料:《零死角玩转STM32》“ADC—电压采集”章节 一、ADC简介 ADC &#xff1a;Analog to Digital&#xff0c;模拟数字转换器 三个独立的ADC 1 / 2 / 3分辨率为12位每个ADC具有18个通道&#xff0c;其中…

STM32标准库开发——USART串口协议

通信接口 通信的目的:将一个设备的数据传送到另一个设备&#xff0c;扩展硬件系统通信协议:制定通信的规则&#xff0c;通信双方按照协议规则进行数据收发 差分电平&#xff08;Differential Voltage Level&#xff09;是指用两个相对的电平来表示信号的电压差异。它是通过将…

[嵌入式软件][入门篇] 搭建在线仿真平台(STM32)

文章目录 一、注册平台二、创建首个项目三、硬件介绍 一、注册平台 进入官方&#xff0c;进行注册&#xff1a; 在线仿真地址 二、创建首个项目 ① 新建项目 ② 搭建一个电路 ③ 用STM32F103搭建一个简单电路 ④ 进入编码界面 三、硬件介绍 红框是必看文档&#xff…

【STM32】STM32学习笔记-ADC模数转换器(21)

00. 目录 文章目录 00. 目录01. ADC简介02. ADC主要特征03. 逐次逼近型ADC04. ADC功能描述05. ADC基本结构06. 输入通道07. 转换模式08. 触发控制09. 数据对齐10. 转换时间11. 校准12. 硬件电路13. 附录 01. ADC简介 小容量产品是指闪存存储器容量在16K至32K字节之间的STM32F1…

14 STM32 - IIC (时序图+软件源码)

14.1 IIC简介 IIC&#xff08;Inter-Integrated Circuit&#xff09;&#xff0c;中文集成电路总线&#xff0c;是一种串行通信总线&#xff0c;使用多主从架构。I2C串行总线一般有两根信号线&#xff0c;一根是双向的数据线SDA&#xff0c;另一根是时钟线SCL。所有接到I2C总线…

基于STM32F103的智能书房系统的设计

摘要&#xff1a; 智能书房系统是一种将现代技术应用于书房环境的智能化管理系统。本文提出了一种基于STM32F103的智能书房系统的设计方案&#xff0c;旨在提供便捷、舒适和高效的书房环境&#xff0c;以满足用户对学习和工作的需求。该系统利用嵌入式系统和传感器技术&#xf…

FreeRTOS学习之路,以STM32F103C8T6为实验MCU(2-11:空闲任务)

学习之路主要为FreeRTOS操作系统在STM32F103&#xff08;STM32F103C8T6&#xff09;上的运用&#xff0c;采用的是标准库编程的方式&#xff0c;使用的IDE为KEIL5。 注意&#xff01;&#xff01;&#xff01;本学习之路可以通过购买STM32最小系统板以及部分配件的方式进行学习…

【正点原子STM32连载】第三十一章 待机模式实验 摘自【正点原子】APM32E103最小系统板使用指南

1&#xff09;实验平台&#xff1a;正点原子APM32E103最小系统板 2&#xff09;平台购买地址&#xff1a;https://detail.tmall.com/item.htm?id609294757420 3&#xff09;全套实验源码手册视频下载地址&#xff1a; http://www.openedv.com/docs/boards/xiaoxitongban 第三…

STM32---基本定时器(含源码)小白可入

写在前面&#xff1a;定时器是STM32中一个十分重要的外设&#xff0c;并且在STM32中具有多个定时器。定时器的包括基本定时器、通用定时器以及高级控制定时器&#xff0c;这些定时器相关独立&#xff0c;不共享任何资源。当然&#xff0c;其难易程度也是逐渐增加的&#xff0c;…

同旺科技 USB TO RS-485 定制款适配器--- 拆解(四)

内附链接 1、USB TO RS-485 定制款适配器 ● 支持USB 2.0/3.0接口&#xff0c;并兼容USB 1.1接口&#xff1b; ● 支持USB总线供电&#xff1b; ● 支持Windows系统驱动&#xff0c;包含WIN10 / WIN11系统32 / 64位&#xff1b; ● 支持Windows RT、Linux、Mac OS X、Windo…

BearPi Std 板从入门到放弃 - 先天篇(1)(阶段 : 智慧城市 - 智慧路灯)

简介 对前面几篇整合, 做个小小汇总试验, 使用BearPi E53_SC1扩展板主芯片: STM32L431RCT6串口: Usart1扩展板与主板连接: I2C : I2C1 (光照强度传感器&#xff1a;BH1750)LED: PB9步骤 创建项目 参考 BearPi Std 板从入门到放弃 - 引气入体篇&#xff08;1&#xff09;(由零创…

keil5 查看stm32 寄存器的值

1 查看芯片内部寄存器的值&#xff0c;首先是在仿真状态下&#xff0c;首先仿真&#xff0c;程序运行。 2 点击菜单栏的 View -> System viewer &#xff0c;右侧便会出现芯片的所有寄存器(如果没有&#xff0c;需要添加)&#xff0c;点击要查看的寄存器&#xff0c;便会出…

DAP之FLM算法研究

本人所写的博客都为开发之中遇到问题记录的随笔,主要是给自己积累些问题。免日后无印象,如有不当之处敬请指正(欢迎进扣群 24849632 探讨问题); 写在专栏前面https://blog.csdn.net/Junping1982/article/details/129955766 玩过自制DAP工具的一定都知道通过MDK目录的FLM文…

STM32CubeMX教程31 USB_DEVICE - HID外设_模拟键盘或鼠标

目录 1、准备材料 2、实验目标 3、模拟鼠标实验流程 3.0、前提知识 3.1、CubeMX相关配置 3.1.0、工程基本配置 3.1.1、时钟树配置 3.1.2、外设参数配置 3.1.3、外设中断配置 3.2、生成代码 3.2.0、配置Project Manager页面 3.2.1、设初始化调用流程 3.2.2、外设中…

STM32-GPIO输出(HAL库)

STM32-GPIO 介绍 什么是GPIO&#xff1f; GPIO&#xff08;通用输入/输出&#xff09;是一种用于与外部设备进行数字通信的通用硬件接口。它允许微控制器或其他数字电路的引脚以灵活的方式配置为输入或输出&#xff0c;并在运行时进行动态控制。GPIO可用于连接和控制各种外围…

STM32关于UART的接收方式

STM32的 UART 一般分为定长接收和不定长接收 定长接收&#xff1a; HAL_UART_Receive():只能接收固定长度的数据&#xff0c;如果超过固定长度的数据只能接收对应长度&#xff0c;如果小于固定长度则不会接收 HAL_UART_Receive_IT():中断方式接收&#xff0c;每接收一个字节…

分支预测详解

分支预测用于在微处理器中以流水线效率为目标来预测程序流。有许多方法来实现分支预测&#xff0c;通常在更好的预测结果和增加硬件做预测之间需要进行权衡。 目录 分支预测简介 静态分支预测 动态分支预测 启动分支预测 分支预测简介 要了解分支预测器&#xff0c;就不得…

CAN总线基础详解以及stm32的CAN控制器

目录 CAN简介 CAN总线拓扑图 CAN总线特定 CAN应用场景 CAN的物理层 CAN的协议层 CAN数据帧介绍 CAN位时序介绍 数据同步过程 硬件同步 再同步 CAN总线仲裁 stm32的CAN控制器 CAN控制器介绍 CAN控制器模式 CAN控制器框图 接收过滤器 CAN控制器波特率计算 CAN相…

普中STM32-PZ6806L开发板(有点悲伤的故事续-人灯还未了)

简介 继上篇 普中STM32-PZ6806L开发板(有点悲伤的故事) 说到 关于 普中STM32-PZ6806L开发板的LED流水灯也被烧坏掉了&#xff0c;再也无法玩流水灯, 内心充满了只会流水灯的不甘, 流水灯就是单片机的Hello World&#xff0c;怎么能没有呢&#xff1f; 事情发展 好巧不巧想起最近…

STM32入门学习之TFT_LCD显示

1.TFT_LCD简介&#xff1a;薄膜晶体管液晶显示器TFT_LCD(Thin Film Transistor-Liquid Crystal Display)在液晶显示屏的每一个像素上都设置有一个薄膜晶体管&#xff08;TFT&#xff09;&#xff0c;能够有效的克服非选择时的串扰&#xff0c;使显示屏的静态特性与扫描线数无关…

【STM32】STM32学习笔记-USART串口外设(26)

00. 目录 文章目录 00. 目录01. 串口简介02. 串口协议03. USART简介04. USART框图05. USART基本结构06. 数据帧07. 起始位侦测08. 数据采样09. 波特率发生器10. 附录 01. 串口简介 串口通讯(Serial Communication)是一种设备间非常常用的串行通讯方式&#xff0c;因为它简单便…

RT-Thread:SPI万能驱动 SFUD 驱动Flash W25Q64,通过 STM32CubeMX 配置 STM32 SPI 驱动

关键词&#xff1a;SFUD,FLASH,W25Q64&#xff0c;W25Q128&#xff0c;STM32F407 说明&#xff1a;RT-Thread 系统 使用 SPI万能驱动 SFUD 驱动 Flash W25Q64&#xff0c;通过 STM32CubeMX 配置 STM32 SPI 驱动。 提示&#xff1a;SFUD添加后的存储位置 1.打开RT-Thread Sett…

STM32 使用 DS18B20 温度传感器实现环境温度监测

为了实现环境温度监测系统&#xff0c;我们可以利用STM32微控制器和DS18B20数字温度传感器。在本文中&#xff0c;我们将介绍如何通过STM32微控制器读取DS18B20传感器的温度数据&#xff0c;并展示一个简单的示例代码。 1. 系统概述 环境温度监测系统旨在使用DS18B20数字温度…

STM32 有源蜂鸣器

模块介绍: 结构&#xff1a;有源蜂鸣器通常由一个振膜和一个驱动电路组成。振膜是负责产生声音的部分&#xff0c;而驱动电路则负责控制振荡频率和幅度。 工作原理&#xff1a;有源蜂鸣器的驱动电路会向振膜施加电压&#xff0c;使其振动产生声音。驱动电路可以根据输入信号的…

STM32——DMA

STM32——DMA 1.DMA介绍 什么是DMA&#xff1f; DMA(Direct Memory Access&#xff0c;直接存储器访问) 提供在外设与内存、存储器和存储器、外设与外设之间的高速数据传输使用。它允许不同速度的硬件装置来沟通&#xff0c;而不需要依赖于CPU&#xff0c;在这个时间中&…

STM32入门教程-2023版【5-1】NVIC

关注 点赞 不错过精彩内容 大家好&#xff0c;我是硬核王同学&#xff0c;最近在做免费的嵌入式知识分享&#xff0c;帮助对嵌入式感兴趣的同学学习嵌入式、做项目、找工作! 四、NVIC &#xff08;1&#xff09;NVIC基本结构 外部中断的整体结构图如下&#xff1a; 这个NVI…

STM32G4 系列命名规则

STM32G4产品线 基础型系列STM32G4x1 具有入门级模拟外设配置&#xff0c;单存储区Flash&#xff0c;支持的Flash存储器容量范围从32到512KB。 增强型系列STM32G4x3 与基本型器件相比具有更多数量的模拟外设&#xff0c;以及双存储区Flash&#xff0c;Flash存储器容量也提高…

Python与STM32

Python与STM32F103串口通讯_捉猫的耗子的博客-CSDN博客 STM32与Python通信 - 知乎 &#xff08;https://github.com/Bozenton/STM32_Python&#xff09; 实现Python与STM32通信_stm32python_Hi_BelingBeling的博客-CSDN博客 使用python测试stm32串口_keysking的博客-C…

STM32 延时函数(简单粗暴不精确)

简单的粗略延时 有时候不需要精确延时&#xff0c;比如只希望在一定的时间内切换一下GPIO的电平&#xff0c;就可以使用下面简单的函数&#xff1a; void delay(u16 delaytime) { u16 i0; while(delaytime--){i12000; //自己定义while(i--) ; }}int main() {while(1)…

STM32单片机智能蓝牙APP加油站火灾预警安防防控报警监控系统MQ2DHT11

实践制作DIY- GC0122-智能蓝牙APP加油站火灾预警 一、功能说明&#xff1a; 基于STM32单片机设计-智能蓝牙APP加油站火灾预警 功能介绍&#xff1a; 基于STM32F103C系列最小系统&#xff0c;MQ-2烟雾传感器&#xff0c;火焰传感器&#xff08;不能直视阳光会受到阳光干扰&…

第二节 驱动章节实验环境搭建

本章主要目的是搭建驱动章节的实验环境&#xff0c;方便后续章节不在实验环境上耗费太多版面&#xff0c;而是主要讲解设备驱动的原理。当进行实验的时候&#xff0c;不清楚具体细节时再跳转回来看。 首先我们要明白程序最终是运行在开发板上&#xff0c;我们开发板主要使用ST…

STM32和ESP8266的WiFi模块控制与数据传输

基于STM32和ESP8266 WiFi模块的控制与数据传输是一种常见的嵌入式系统应用。在这种应用中&#xff0c;STM32作为主控制器负责控制和与外部传感器交互&#xff0c;而ESP8266 WiFi模块则用于实现无线通信和数据传输。本文将介绍如何在STM32上控制ESP8266模块&#xff0c;建立WiFi…

KEIL编译报错,解决方法汇总

目录 背景 最近在跟着野火码uCosiii的代码时&#xff0c;感觉非常完美&#xff0c;结果一编译&#xff0c;报了120个莫名其妙的问题&#xff0c;下面是踩过的坑&#xff0c;一起记录下&#xff0c;免得下次又掉进去了~ 1. 编译汇编文件&#xff0c;报错 error: unexpected t…

第五节 字符设备驱动——点亮LED 灯

通过字符设备章节的学习&#xff0c;我们已经了解了字符设备驱动程序的基本框架&#xff0c;主要是掌握如何申请及释放设备号、添加以及注销设备&#xff0c;初始化、添加与删除cdev 结构体&#xff0c;并通过cdev_init 函数建立cdev 和file_operations 之间的关联&#xff0c;…

14_FreeRTOS二值信号量

目录 信号量的简介 队列与信号量的对比 二值信号量 二值信号量相关API函数 实验源码 信号量的简介 信号量是一种解决同步问题的机制,可以实现对共享资源的有序访问。 假设有一个人需要在停车场停车 1.首先判断停车场是否还有空车位(判断信号量是否有资源) 2.停车场正好…

3.4 按键控制LED灯光敏传感器控制蜂鸣器

按键控制LED灯1.1 按键连接示意图1.2 代码设计1.21 设计思路我们要实现按键控制led&#xff0c;我们需要完成LED和按键驱动代码&#xff0c;但如果把这两部分代码都混在主函数里面&#xff0c;那么代码显得过于杂乱&#xff0c;不容易管理和移植&#xff0c;所以对于这种驱动代…

STM32MP157D-DK1 STM32CubeID使用与M核开发

STM32MP157具有A7内核核M4内核&#xff0c;前面介绍的一些文章&#xff0c;都是在A7内核上进行的&#xff0c;本篇来介绍M4内核的开发&#xff0c;以及开发时要用到的STM32 CubeIDE软件的使用。 1 STM32 CubeIDE创建LED工程 STM32CubeIDE是一体式多操作系统开发工具&#xff…

嵌入式学习笔记——STM32的中断控制体系

STM32的中断控制体系前言STM32中断的概念中断类型中断控制常用控制函数区分中断源与中断信号配置中断优先级分组问题前言 上一篇中&#xff0c;借着串口接受的问题&#xff0c;简要说了一下串口中断的作用和用法&#xff0c;本文将对STM32的中断控制体系做个介绍。 STM32中断…

【笔记------freemodbus】一、stm32的裸机modbus-RTU从机移植(HAL库)

freemodbus的官方介绍和下载入口&#xff0c;官方仓库链接&#xff1a;https://github.com/cwalter-at/freemodbus modbus自己实现的话往往是有选择的支持几条指令&#xff0c;像断帧和异常处理可能是完全不处理的&#xff0c;用freemodbus实现的话要简单很多&#xff0c;可移植…

STM32 基础知识(探索者开发板)--115讲 OLED

引用http://t.csdnimg.cn/hV6Ox 该函数中 void oled_refresh_gram(void) {uint8_t i, n;for (i 0; i < 8; i){oled_wr_byte (0xb0 i, OLED_CMD); /* 设置页地址&#xff08;0~7&#xff09; */oled_wr_byte (0x00, OLED_CMD); /* 设置显示位置—列低地址 */oled_wr…

STM32实战-无源蜂鸣器

前言&#xff1a; 主要通过无源蜂鸣器实现功能有&#xff1a; 1、上电后&#xff0c;无源蜂鸣器发出警报声&#xff1b; 2、通过触摸按键1打开或关闭蜂鸣器; 目录 1、硬件电路部分 2、技术讲解 2.1通用定时器&#xff08;TIMx&#xff09; 2.2主要特性 2.3框图 3.软件…

STM32弹U盘

文章目录1、弹U盘1.1 通过CubeMX配置USB1.2 配置USB_DEVICE1.3 usbd_storage_if.c文件1.4 修改usb_device.c文件1.5 在main函数中调用 fileSystemInit()函数1、弹U盘 1.1 通过CubeMX配置USB 1.2 配置USB_DEVICE 1.3 usbd_storage_if.c文件 #define STORAGE_LUN_NBR …

STM32之IIC

IIC协议IIC全称Inter-Integrated Circuit (集成电路总线)&#xff0c;由PHILIPS公司在80年代开发的两线式串行总线&#xff0c;用于连接微控制器及其外围设备。IIC属于半双工同步通信方式。IIC构成 IIC串行总线有两根信号线&#xff0c;一根是双向的数据线SDA&#xff0c;另一根…

STM32控制DS18B20温度传感器获取温度

时间记录&#xff1a;2024/1/28 一、DS18B20温度传感器介绍 &#xff08;1&#xff09;测温范围-55℃~125℃&#xff0c;在-10℃到85℃范围内误差为0.4 &#xff08;2&#xff09;返回的温度数据为16位二进制数据 &#xff08;3&#xff09;STM32和DS18B20通信使用单总线协议…

STM32G4单片机

单片机的基本结构 CPU就是中央处理器&#xff0c;是单片机的内核 时钟电路&#xff0c;时钟源是给整个电路提供时序 其他的外设、中断以及存储器都是通过系统总线与CPU进行连接 RAM相当于电脑的内存条&#xff0c;随机存储器&#xff0c;掉电会丢失 ROM相当于电脑的硬盘&am…

嵌入式Linux驱动开发之点灯

使用驱动开发的方式点亮一个LED灯。看看两者有啥区别不&#xff1f; 一、先看原理图 首先查看原理图&#xff0c;看看我们的板子上的LED等接在哪一个IO口上面。 好了&#xff0c;看原理图我们知道LED灯接在芯片的GPIO1的第三个引脚上面&#xff0c;也就是GPIO1_IO03。 二、I…

什么是推挽输出,开漏输出?

这篇文章是看B站“工科男孙老师”这个视频的笔记推挽 开漏 高阻 这都是谁想出来的词&#xff1f;&#xff1f; 我觉得讲的很好&#xff0c;做一下笔记 1.什么是IO输出三态 一共有&#xff1a;高电平, 低电平&#xff0c;浮空/高阻态 三种IO态 2.推挽输出 推挽输出能够表示高、…

蓝桥杯STM32G431RBT6学习——LED

蓝桥杯STM32G431RBT6学习——LED 前言 LED为每年必考考点&#xff0c;也是入门的基础&#xff0c;国信长天的开发板LED硬件如下&#xff1a; 经典的锁存器控制&#xff0c;因为LED所用引脚与LCD重叠&#xff0c;因此通过锁存器进行控制其状态。当74HC573的LE引脚&#xff08…

STM32学习(五)

GPIO General Purpose Input Output&#xff0c;通用输入输出端口&#xff0c;简称GPIO。 作用&#xff1a; 采集外部器件的信息&#xff08;输入&#xff09;控制外部器件的工作&#xff08;输出&#xff09; GPIO特点 1&#xff0c;不同型号&#xff0c;IO口数量可能不一样…

K_A22_005 基于STM32驱动360度编码器模块 串口与数码管双显示

K_A22_005 基于STM32驱动360度编码器模块 串口与数码管双显示所有资源导航一、资源说明二、基本参数引脚说明三、驱动说明对应程序:四、部分代码说明1、接线引脚定义STM32F103C8T6360度编码器模块五、基础知识学习与相关资料下载六、视频效果展示与程序资料获取七、注意事项八、…

STM32自定义键盘(二)STM32单片机的USB接口-HID键盘

STM32自定义键盘&#xff08;二&#xff09;STM32单片机的USB接口-HID键盘HID描述符生成HID键盘工程模板修改HID报告描述符键值数据发送USB HID 键盘键值表HID描述符 请参考这位博主的文章: USB 协议分析之 HID 设备 生成HID键盘工程模板 在stm32cubemx中配置参数如下图&…

typedef uint8_t u8;(stm32数据类型)

在stm32单片机的库文件里有这么一段u8和u16的定义 typedef uint8_t u8; typedef uint16_t u16&#xff1b; 而uint8_t和uint16_t的定义是这样的 typedef unsigned char uint8_t; typedef unsigned short int uint16_t; 意味着u8就是就是指代的unsigned char …

嵌入式学习笔记——通用定时器

通用定时器前言通用定时器概述通用定时数量简介特性框图介绍时钟选择部分未完待续&#xff0c;明日继续前言 上一篇中介绍了STM32F407的基本定时器&#xff0c;它的功能与系统滴答差不太多&#xff0c;多了一个DAC的功能&#xff1b;本文将介绍一下基本定时器的升级款&#xf…

STM32时钟与定时器

目录 一、STM32的时钟系统 二、SysTick定时器 三、HAL_Delay的实现 四、通用定时器 一、STM32的时钟系统 概念时钟系统是由振荡器&#xff08;信号源&#xff09;、定时唤醒器、分频器等组成的电路。常用的信号源有晶体振荡器和RC振荡器。 意义时钟是嵌入式系统的脉搏&…

使用 RT-Thread Studio 和 STM32CubeMx 开发驱动

文章目录1 前言2 简介3 实现3.1 使用 RT-Thread Studio 新建 RT-Thread 工程3.2 使用 STM32CubeMx 配置外设和系统时钟3.3 复制 stm32xxxx_hal_msp.c 函数3.4 修改 stm32xxxx_hal_config.h 文件&#xff0c;打开相应外设支持。3.5 替换 board.c 文件中时钟配置函数3.6 使用外设…

STM32-创建工程模板

STM32 工程模板没有统一的格式&#xff0c;可以参考 ST 官方的示例模板或者根据自己的开发经验和使用习惯总结。 Project Template 文档以库函数工程模板为例&#xff0c;HAL 库工程模板对应参考即可。 Official Project Template ST 发布的标准外设库 (STM32 Standard Per…

RT-Thread 瑞萨 智能家居网络开发:RA6M3 HMI Board 以太网+GUI技术实践

不用放大了&#xff0c; 我在包里找到张不小的…… 以太网HMI线下培训-环境准备 这是社群的文档&#xff1a;【腾讯文档】以太网线下培训&#xff08;HMI-Board&#xff09; https://docs.qq.com/doc/DY0FIWFVuTEpORlNn 先介绍周六的培训是啥&#xff0c;然后再介绍一下要准…

stm32 FOC系列 直流有刷控制原理

1、直流有刷驱动板 使用三极管搭建的简易 H 桥电路&#xff0c;如图 5.3.1 所示&#xff1a; 图 5.3.1 是使用三极管搭建的简易 H 桥电路&#xff0c;其中 MOTOR 表示直流有刷电机&#xff0c; Q1、 Q2、 Q3 和 Q4 为 4 个三极管&#xff0c;其中 Q1 和 Q3 接在了电源正极&…

STM32之模数转换器(ADC)

一、模数转换器介绍 1、模数转换器简介 为什么使用模拟转换器&#xff1f;&#xff1f; 因为MCU只能识别01010101的数字信号&#xff0c;而外部物理信号均为模拟信号&#xff0c;如声音、光、电等&#xff0c;所以为了让计算机能够处理外部物理的信息&#xff0c;必须要通过…

【复习笔记】FreeRTOS(三)任务挂起和恢复

本文是FreeRTOS复习笔记的第三节&#xff0c;任务挂起和恢复&#xff0c;使用的开发板是stm32f407VET6&#xff0c;创建两个任务&#xff0c;task1负责闪烁LED&#xff0c;task2负责按键控制&#xff0c;当按键按下时控制任务挂起&#xff0c;按键再次按下恢复任务&#xff0c;…

6.6 输入捕获模式测频率PWMI模式测频率占空比

输入捕获模式测频率1.1电路连接示意图待测PWM信号为stm32自己生成&#xff0c;输出脚为PA0,直接用一根线把PA0引到PA6。1.2 设计思路在原有模板的基础上&#xff0c;改动PWM.h、PWM.c并添加IC.h、IC.c输出捕获初始化第⼀步&#xff0c;RCC开启时钟&#xff0c;把GPIO的TIM的时钟…

蓝桥杯嵌入式STM32 LED模块化封装

文章目录 前言一、创建led.c和led.h文件二、编写led.c和led.h文件三、代码测试总结前言 之前的文章已经给大家介绍了LED灯的操作的了,现在我给大家讲解LED模块化的封装,学会了LED模块化封装将给我们带来极大的便利。 一、创建led.c和led.h文件 首先创建user文件夹,这里存…

「STM32入门」TIM输出比较

输出比较的简介 输出比较英文写作OC (Output Compare) 输出比较可以通过比较CNT和CCR寄存器值的关系&#xff0c;来对输出电平进行置高或者置低或者翻转的操作&#xff0c;用于输出一定频率和占空比的PWM波形常见应用例子如&#xff1a;呼吸灯&#xff0c;调速电机等CCR&#x…

STM32--IWDG独立看门狗实验

STM32F1系列内置两个看门狗&#xff0c;提供了更高的安全性、时间的精确性和使用的灵活性。两个看门狗设备(独立看门狗和窗口看门狗)可用来检测和解决由软件错误引起的故障&#xff1b;当计数器达到给 定的超时值时&#xff0c;触发一个中断(仅适用于窗口型看门狗)或产生系统复…

硬件设计--DAPLINK设计

1 参考网站 1、打造属于你自己的STM32下载器调试器--------DAPLink 2、ARMmebed官方开源代码DAPLink 3、ARMmebed官方开源代码DAPLink github加速网站 4、ARMmebed官方开源硬件旧版 5、ARMmebed官方开源硬件新版 6、自制DAPLink – ARM官方源码以及STM32F103C8T6 7、如何做一个…

AIR32F103(十二) 搭载 AIR32F103CBT6 的Bluepill核心板

目录 AIR32F103(一) 合宙AIR32F103CBT6开发板上手报告AIR32F103(二) Linux环境和LibOpenCM3项目模板AIR32F103(三) Linux环境基于标准外设库的项目模板AIR32F103(四) 27倍频216MHz,CoreMark跑分测试AIR32F103(五) FreeRTOSv202112核心库的集成和示例代码AIR32F103(六) ADC,I2S…

STM32CubeMX | 43-1 - 认识OV2640摄像头

文章目录 一、OV2640摄像头1. OV2640摄像头2. OV2640内部框图3. OV2640摄像头模块二、如何操作OV2640内部寄存器1. SCCB总线概述2. SCCB物理协议(硬件引脚定义)3. SCCB数据传输协议三、如何读取图像数据1. CMOS图像传感器的特点2. 传输引脚和时序一、OV2640摄像头 1. OV2640…

智能吸吹一体式方案设计特点

一、家用吸吹一体吸尘器方案研发设计要素&#xff1a; 1.小巧的机身设计&#xff0c;一手掌握&#xff0c;无论是床底、沙发下还是家具缝隙之中都能够使用。 2.无线&#xff0c;插电两用&#xff0c;在家方便可插电使用。内置可充电锂电池&#xff0c;充满电也可无线使用。 3.采…

STM32快速复制MX25L1606E系列Flash

去年做了一个使用RS485对PIC18F45K80系列单片机进行在线升级的程序&#xff0c;如果是小批量的出厂烧录程序和升级验证&#xff08;出厂前肯定要测试单片机是否能正常读写Flash&#xff09;是可以的&#xff0c;但是后来产品订单量很大&#xff0c;生产线的烧录及升级验证就很缓…

ESP8266(ESP模块)Arduino开发环境快速搭建方法--含网盘离线文件

目录 1. ESP8266简介 1.1 乐鑫ESP8266 1.2 安信可ESP模组 2. ESP8266开发 3. 开发环境搭建 4. 网盘文件离线安装 1. ESP8266简介 1.1 乐鑫ESP8266 乐鑫公司的提供的ESP8266 系列模组&#xff0c;集成 Wi-Fi 芯片 ESP8266&#xff0c;设计紧凑、集成度高、RF 性能突出。…

SPI+DMA传输性能比较

本文章仅仅简单记录32单片机的SPIDMA驱动显示屏的性能测试&#xff0c;这里不花费时间介绍SPI和DMA。 硬件材料&#xff1a;SPI显示屏一个&#xff0c;32单片机 软件材料&#xff1a; 1.LCD的SPI驱动显示程序&#xff08;SPI / SPIDMA&#xff09;&#xff1a; &#xff08;1&a…

6 计时器(三)

6.4 输出比较演示** 演示1&#xff1a;PWM驱动呼吸灯** 函数解释&#xff1a; 输出比较单元&#xff08;掌握&#xff09; void TIM_OC1Init(TIM_TypeDef* TIMx, TIM_OCInitTypeDef* TIM_OCInitStruct); void TIM_OC2Init(TIM_TypeDef* TIMx, TIM_OCInitTypeDef* TIM_OCIni…

嵌入式开发--STM32G4系列片上FLASH的读写

这个玩意吧&#xff0c;说起来很简单&#xff0c;就是几行代码的事&#xff0c;但楞是折腾了我大半天时间才搞定。原因后面说&#xff0c;先看代码吧&#xff1a; 读操作 读操作很简单&#xff0c;以32位方式读取的时候是这样的&#xff1a; data *(__IO uint32_t *)(0x080…

STM32踩坑笔记

STM32踩坑笔记 一、IAR开发7.0以上版本 警告&#xff1a;Warning[25]: Label ‘NMI_Handler’ is defined pubweak in a section implicitly declared root 该警告存在于IAR7.0以上版本环境下的STM32的启动文件xxx.s中&#xff0c;根据百度搜索的回复是高版本IAR取消使用STM3…

第一篇博客------自我介绍篇

目录&#x1f506;自我介绍&#x1f506;学习目标&#x1f506;如何学习单片机Part 1 基础理论知识学习Part 2 单片机实践Part 3 单片机硬件设计&#x1f506;希望进入的公司&#x1f506;结束语&#x1f506;自我介绍 Hello!!!我是一名即已经步入大二的计算机小白。 --------…

一个plc的实现第二部感言

各位朋友大家好&#xff0c;刚才播放的片段来自一部电视剧&#xff0c;天下长河&#xff0c;讲的是陈潢靳辅治理黄河的故事。为什么要播放这样的一个片段呢&#xff0c;这个片段跟我的课程一个plc的实现第二部有什么关系呢&#xff1f; 其实关注我的朋友都知道我出过的《一个pl…

基于MM32SPIN360C芯片的低压无刷电机设计 电机开发板驱动有感 BLDC 的操作方法

近年来&#xff0c;无刷直流电动机在众多领域中得到广泛应用。无论是电动汽车、家用电器&#xff0c;还是工业控制和医疗器械都有它的身影。无刷直流电动机之所以如此广受青睐&#xff0c;除了保持了有刷直流电动机优越的启动性能和调速性能外&#xff0c;其最大的特点就是没有…

【模块系列】STM32DHT11时序关键代码

前言 基于stm32f103C6T6核心板STM32CubeMX的DHT11的使用。其实吧&#xff0c;要不是网上关于stm32调用DHT11的代码大多数用不了或者乱七八糟的&#xff0c;我也不想推时序的&#xff0c;嘎嘎麻烦。写着写着就发现&#xff0c;本章的篇幅有点长了&#xff0c;所以本文就先介绍DH…

【 STM32 HAL 详解】CubeIDE实现串口接收不定长数据DMA+串口重定向

基于STM32G0,使用DMA方式&#xff0c;实现串口接收不定长的数据 目录基于STM32G0,使用DMA方式&#xff0c;实现串口接收不定长的数据1.图形化操作1.1.配置串口基本参数1.2.增加发送和接收DMA1.3.开启中断1.4.配置时钟树1.5.生成代码2.用户代码2.1.usart修改2.2.修改串口中断函数…

ESP32_IDF_基于win11的开发环境搭建

ESP32_IDF_基于win11的开发环境搭建 说明&#xff1a; 1、安装ofline 2、编译下载&#xff08;本来打算使用vscode配合使用来开发的&#xff0c;奈何vscode环境真难搞&#xff0c;放弃了&#xff0c;用不惯&#xff09; 3、使用官方的下载工具下载程序 一、win11下安装ofline 注…

利用STM32的LR寄存器调试HardFault错误

R14 or LR(Link Register) HardFault调试的思路 先在出错误的地方打断点&#xff0c;让程序的状态固定下来&#xff1b;由于HardFault属于异常&#xff0c;所以出现HardFault后&#xff0c;LR的值一定是0xFFFFFFFx&#xff0c;这样就可以根据其值&#xff0c;判断程序进入这个…

MDK Keil5 创建Stm32工程-理论篇(这里以Stm32F103Zet6为例)

一、文件夹创建与文件说明整个工程可以粗略的划分为几个文件夹&#xff1a;BSP底层驱动比如GPIO\Timer等驱动文件CMSIS内核相关的文件Firmware生成的固件下载文件Mycode用户编写的相关文件&#xff0c;主要编写的文件都在这个文件夹里Project工程文件startup芯片启动文件STM32F…

5.39 综合案例2.0 - STM32蓝牙遥控小车2(语音控制)

综合案例2.0 - 蓝牙遥控小车1- 语音控制成品展示案例说明器件说明小车连线小车源码语音模块遥控语音遥控连线模块使用说明1、MLT-BT05 4.0 蓝牙模块2、ASRPRO-2m模块下载代码连线模块编程说明成品展示 用语音识别当stm32智能车的遥控器&#xff0c;还能这样玩案例说明 用STM32…

【STM32】进阶(二):DMA+ADC实现模拟量检测

1、简述 DMA&#xff1a;Direct Memory Access&#xff0c;直接内存访问 ADC&#xff1a;Analog to Digital Converter&#xff0c;模数转换器&#xff0c;模拟信号转换成数字信号的电路&#xff08;采样-量化-编码&#xff09; 参考博客&#xff1a; STM32DMA功能详解 STM32…

【LoRaWAN_End_Node】基于LoRaWAN模组代码解析

基于lorawan模组的节点代码解析 可实现lorawan节点接入标准lorawan网关 这里写目录标题 STM32WL型号分布LoRaWAN_End_Node SDK代码框架LoRaWAN_EndNode 代码说明【打印调试信息】【软定时服务 UTIL_TIMER】【序列调度—sequencer】【设备入网过程与LED灯状态分析】【用户API程序…

蓝桥杯嵌入式第六课--串口收发

前言串口作为一个考试中考察频率较高的考点&#xff0c;其套路比较固定&#xff0c;因此值得我们仔细把握。本节课主要着眼于快速配置实现 串口收发与串口的中断。CubeMX配置选择串口2配置异步收发模式基本参数设置&#xff08;波特率、校验位等等&#xff09;开启串口收发中断…

如何设置STM32的系统时钟(SYSCLK)

目录 1、STM32F407 的时钟来源 1.1、HSI 时钟 1.2、HSE 时钟 1.3、主 PLL 时钟 2、时钟树 3、配置系统时钟 3.1、系统时钟有关寄存器 3.2、使用系统的默认配置 3.3、系统时钟配置所用到的相关宏定义 3.4、SystemInit( )函数 3.5、SetSysClock( )函数 3.6、自行配置…

单片机中按键检测函数详细分析经典

​ 目录 一、如何进行按键检测 1.从裸机的角度分析 2.从OS的角度分析 二、最简单的按键检测程序 三、为什么要了解FIFO 四、什么是FIFO 五、按键FIFO的优点 六、按键 FIFO 的实现 1.定义结构体 2.将键值写入FIFO 3.从FIFO读出键值 4.按键检测程序 5.按键扫描 7.…

STM32之TIM编码器接口

编码器简介&#xff1a; 例子讲解&#xff1a;正交编码器有两个输出&#xff0c;一个A相&#xff0c;一个B相&#xff0c;AB接口输出正交信号。然后接入STM32的定时器的编码器接口&#xff0c;编码器接口自动控制定时器时基单元中的CNT计数器进行自增或自减&#xff0c;比如初始…

CANopen | 对象字典OD 07 - 创建对象字典变量,变量变化时发送TPDO1,滤波时间200ms

文章目录一、前言二、实验目的三、对象字典OD四、TPDO1数据变化发送&#xff0c;滤波时间200ms4.1、main.c4.2、让CANopen从站进入操作状态4.3、TPDO1的CAN数据包一、前言 该笔记的程序&#xff1a;github 二、实验目的 CANopen从站有一个变量tx_Value&#xff0c;映射到T…

STM32单片机蓝牙APP智能温控风扇红外热释电

实践制作DIY- GC0144-蓝牙APP智能温控风扇 基于STM32单片机设计---蓝牙APP智能温控风扇 二、功能介绍&#xff1a; 硬件组成&#xff1a;STM32F103C最小系统板DS18B20温度湿度OLEDHC-05蓝牙模块SR602红外热释电人体检测5V直流风扇多个按键&#xff08;开关键&#xff0c;下限减…

开放原子训练营第三期:RT-Thread 学习有感

介绍 前几天有幸收到C站的训练营学习邀请&#xff0c;了解到这两天即将举行的开放原子 RTT 训练营。博主算是一名嵌入式方向的小白&#xff0c;主要还是在裸机上进行开发&#xff0c;但对嵌入式的操作系统和实时系统很感兴趣。在这次学习训练营中借助一些学习示例&#xff0c;…

STM32F4 HAL库使用DMA进行ADC采样实时发送波形到串口显示(包含傅里叶变换)

1.总体逻辑 按下STM32F4的KEY0按键&#xff0c;通过外部中断的方式对按键进行检测&#xff0c;然后开启一次带DMA的固定点数的ADC采集&#xff0c;采集完成后在DMA的中断发送采集到的数据&#xff0c;然后清空数据区准备下一次的按键中断。电脑接受到串口数据后对数据进行简单…

《安富莱嵌入式周报》第304期:开源硬件耳机设计,AI单片机STM32N6已确定为M55内核,另外还有新品STM32H5, H50X, H7R, H7S发布

往期周报汇总地址&#xff1a;嵌入式周报 - uCOS & uCGUI & emWin & embOS & TouchGFX & ThreadX - 硬汉嵌入式论坛 - Powered by Discuz! 更新一期视频教程&#xff1a; 第6期ThreadX视频教程&#xff1a;图文并茂吃透RTOS运行机制&#xff0c;任务管理&…

STM32中systick中断的优先级

1、systick中断的优先级 systick为内核外设中断&#xff0c;与普通外设中断的优先级有些区别&#xff0c;并没有抢占优先级和子优先级的说法。 对于M3来说内核外设的中断优先级由内核SCB这个外设的寄存器&#xff1a;SHPRx&#xff08;x1.2.3&#xff09;来配置。 内核外设的中…

【嵌入式硬件芯片开发笔记】HART协议调制解调芯片AD5700配置流程

【嵌入式硬件芯片开发笔记】HART协议调制解调芯片AD5700配置流程 XTAL_EN接地&#xff0c;CLK_CFG的两个引脚由同一个GPIO控制 初始时HART_CLK_CFG输出低电平 由RTS引脚控制调制/解调。当RTS处于高电平时&#xff0c;为解调&#xff08;输入&#xff09;&#xff1b;否则为调…

STM32中断详述——外部EXTI

前置知识 中断&#xff1a;在主程序运行过程中&#xff0c;出现了特定的中断源&#xff0c;使得CPU暂停当前正在运行中的程序&#xff0c;转而去处理中断程序&#xff0c;处理完成后又返回原来被暂停的位置继续执行&#xff0c;可以参考图1所示。 图1 中断程序图 中断优先级&a…

STM32CubeMX新建工程并点亮一个LED

可提前看&#xff1a;STM32CubeMX环境安装&#xff08;保姆级&#xff09; 目录 进入STM32CubeMX界面 双击软件&#xff0c;可能会出现的弹窗 更改固件路径 新建工程 进入配置环境 以一个点灯程序为例 GPIO配置 输出电平设置 输出模式 上下拉 输出速度 ​编辑 U…

【STM32学习】SysTick定时器(嘀嗒定时器)

SysTick定时器一、参考资料二、时钟源选择与定时时间计算1、时钟源选择2、定时时间计算三、SysTick_Handler中断服务函数一、参考资料 嘀嗒定时器&#xff1a;时钟源、寄存器 二、时钟源选择与定时时间计算 结合正点原子的代码进行说明&#xff1a; 1、时钟源选择 从上图可以发…

STM32F407ZGT6|SPI主从模式

功能&#xff1a;主机发送数据0x34–>从机接收数据–>通过串口将数据发送出去–>串口猎人显示0x34 必备知识点 1、SPI串行外设接口特点 高速、全双工、同步、串行高速&#xff1a;发送数据的速度很快全双工&#xff1a;两设备可同时双向通信&#xff08;接收与发送&…

嵌入式开发学习之--点亮LED灯(下)

上篇我们主要学习的是环境搭建和实际操作&#xff0c;这篇详细记录一下整个思考的过程。 首先&#xff0c;我们需要想一个问题&#xff0c;这个灯为什么会亮&#xff1f; 物理上来说&#xff0c;LED灯属于发光二极管&#xff0c;只要有正确的电压就会发亮。也就是说&#xff0c…

5。STM32裸机开发(5)

嵌入式软件开发学习过程记录&#xff0c;本部分结合本人的学习经验撰写&#xff0c;系统描述各类基础例程的程序撰写逻辑。构建裸机开发的思维&#xff0c;为RTOS做铺垫&#xff08;本部分基于库函数版实现&#xff09;&#xff0c;如有不足之处&#xff0c;敬请批评指正。 &…

STM32F407VET6 / BLACK_F407VE开发板间隔0.5秒不断重启

有一块 STM32F407VET6 的故障开发板, 之前的问题是经常无法烧录, 必须reset之后才能连接, 具体查看这篇 STM32F407VET6烧录出现flash download failed target dll has been cancelled. 并且程序运行一段时间后会halt. 这块开发板后来一直搁箱底吃灰了几年. 最近打算把这片 STM…

STM32CubeMX | 44 - 使用GPIO点亮单总线RGBLED

一、单总线RGBLED 1. 硬件连接 在DragonFly上有四个全彩灯相连: 其中RGB_LED连接到STM32的PB9引脚。 2. 单总线通信协议 单总线通信协议中,表示bit0和bit1的码型如下: 时序值如下: 驱动一个单总线RGBLED只需要传输24bit颜色数据即可(MSB,高位优先),格式如下(注意…

Zephyr events

文章目录简介数据结构k_eventevent_walk_dataevents 初始化Z_EVENT_INITIALIZERvoid k_event_init(struct k_event *event)发布事件void k_event_post(struct k_event *event, uint32_t events)设置事件void k_event_set(struct k_event *event, uint32_t events)设置或清除事件…

使用Harmony OS控制外设——输入输出

使用Harmony OS控制外设——输入输出 本节课程主要介绍如何在HiSpark WiFi IoT套件上使用Hamony OS进行编程&#xff0c;以及如何使用GPIO输入输出功能。 相关知识点 Hi3861开发板第一个示例程序演示 熟悉使用DevEco Device Tool插件进行程序烧录 熟悉串口调试工具sscom的使…

OLED显示实验

实验内容 点亮OLED&#xff0c;并实现ASCII 字符的显示。 OLED简介 OLED&#xff0c;即有机发光二极管&#xff08;Organic Light-Emitting Diode&#xff09;&#xff0c;又称为有机电激光显示&#xff08;Organic Electroluminesence Display&#xff0c; OELD&#xff09…

ArduPilot飞控启动运行过程简介

ArduPilot飞控启动&运行过程简介 1. 源由2. Copter飞控2.1 入口2.3 运行(main_loop) 3. Ardunio编程3.1 setup - AP_Vehicle::setup3.2 loop - AP_Vehicle::loop 4. ArduCopter任务5. 参考资料 1. 源由 ArduPilot从整体的设计框架角度&#xff0c;感觉是更加容易上手&…

ASEMI代理LT8471IFE#PBF原装ADI车规级LT8471IFE#PBF

编辑&#xff1a;ll ASEMI代理LT8471IFE#PBF原装ADI车规级LT8471IFE#PBF 型号&#xff1a;LT8471IFE#PBF 品牌&#xff1a;ADI/亚德诺 封装&#xff1a;TSSOP-20 批号&#xff1a;2023 引脚数量&#xff1a;20 工作温度&#xff1a;-40C~125C 安装类型&#xff1a;表面…

【STM32】基础知识 第十六课 窗口看门狗 WWDG 深入浅出

【STM32】基础知识 第十六课 窗口看门狗 WWDG 深入浅出 概述窗口看门狗 (WWDG)WWDG_SR 状态寄存器WWDG 配置与使用使用 WWDG 进行故障检测案例 概述 在嵌入式开发中, 可靠性和稳定性是至关重要的. 这就是为什么许多单片机, 比如 STM32, 提供了窗口看门狗 (Window Watchdog, WW…

STM32入门100步(第6步~第9步)

第6~9步 STM32内部重要功能 上一节我们学习了内核、存储器、时钟、复位和电源管理,这些都是单片机的核心功能,没有它们中的任何一个,单片机都不能正常工作。本节将继续介绍单片机的多个重要功能。 4.1 低功耗模式 单片机在正常工作时,内部大部分功能都处于开启状态,最耗…

实时时钟 RTC

概述 实时时钟(RTC) 模块可长时间维持精确计时&#xff0c;为系统提供实时时钟和日历。该模块功耗极低&#xff0c;最大程度延长电池寿命。 RTC的主要特点&#xff1a; ⚫ BCD 时间 格式 &#xff0c;完整万年历 ⚫ 支持数字调校&#xff0c; 最高 精度可达 0. 06 ppm ⚫ 可输出…

STM32H7B0VBT6使用Free RTOS配置SD卡+Fatfs文件管理系统

作者&#xff1a;Jack_G 时间&#xff1a;2023.05.26 版本&#xff1a;V1.0 上次修改时间&#xff1a; 环境&#xff1a; \quad \quad \quad \quad STM32Cube MX V6.8.1 \quad \quad \quad \quad STM32CubeH7 Firmware Package V1.11.0 / 04-Nov-2022 \quad \quad \quad \qu…

基于STM32设计的避障寻迹小车

一、前言 1.1 项目背景 根据美国玩具协会在一项研究中&#xff0c;过去几年全球玩具销售增长与GDP的世界平均水平大致相同。但全球玩具市场的内部结构已经占据了巨大的位置变化&#xff1a;传统玩具的市场份额正在下降&#xff0c;高科技电子玩具正在蓬勃发展。全球玩具市场的…

关于DMA的笔记

DMA(Direct Memory Access, 直接储存器访问)&#xff0c;是部分总线架构提供的功能&#xff0c;DMA传输功能能将数据从一个地址空间复制到另一个地址空间&#xff0c;实现从附加设备/外设与储存器或储存器与储存器之间的高速传输。 我们结合案例解释DMA的功能&#xff1a;在我…

STM32 gpio外部中断详解

什么是中断&#xff1f; 打断CPU执行正常的程序&#xff0c;转而处理紧急程序&#xff0c;然后返回原暂停的程序继续运行&#xff0c;就叫中断 中断的作用和意义 中断的意义&#xff1a;高效处理紧急程序&#xff0c;不会一直占用CPU资源 STM32 GPIO外部中断简图 NVIC 什么…

<STM32>STM32CubeMX-CAN通信(扫描读取数据方式)(5)

&#xff1c;STM32&#xff1e;STM32CubeMX-CAN通信&#xff08;扫描读取数据方式&#xff09;&#xff08;5&#xff09; 本节主要讲解CAN通信的功能&#xff0c;主要采用扫面检测接收数据的方式&#xff1b; CAN的详细解说可参考《STM32F4XXX中文参考手册》&#xff0c;资料有…

Modbus 协议详解

Modbus 协议详解 通信协议是指双方实体完成通信或服务所必须遵循的规则和约定&#xff0c;例如我们为实现人与人之间的交流需要约定统一的语言&#xff0c;统一的文字&#xff0c;规定语速等等。 而对于设备之间&#xff0c;协议定义了数据单元使用的格式&#xff08;例如大端…

(学习日记)2023.4.18

写在前面&#xff1a; 由于时间的不足与学习的碎片化&#xff0c;写博客变得有些奢侈。 但是对于记录学习&#xff08;忘了以后能快速复习&#xff09;的渴望一天天变得强烈。 既然如此 不如以天为单位&#xff0c;以时间为顺序&#xff0c;仅仅将博客当做一个知识学习的目录&a…

【正点原子STM32连载】 第三十三章 DAC实验 摘自【正点原子】STM32F103 战舰开发指南V1.2

1&#xff09;实验平台&#xff1a;正点原子stm32f103战舰开发板V4 2&#xff09;平台购买地址&#xff1a;https://detail.tmall.com/item.htm?id609294757420 3&#xff09;全套实验源码手册视频下载地址&#xff1a; http://www.openedv.com/thread-340252-1-1.html# 第三…

STM32 USART串口

什么是串口 串口是串行接口 (Serial Interface)的简称&#xff0c;它是指数据一位一位地顺序传送&#xff0c;其特点是通信线路简单&#xff0c;只要一对传输线就可以实现双向通信&#xff08;可以直接利用电话线作为传输线&#xff09;&#xff0c;从而大大降低了成本&#xf…

STM32系列单片机标准库移植FreeRTOS V10.4.6详解

文中所用到的资料下载地址 https://download.csdn.net/download/qq_20222919/87370679 最近看正点原子新录制了手把手教你学FreeRTOS的视频教程&#xff0c;看了一下教程发现视频里面讲的是使用HAL移植 FreeRTOS V10.4.6 版本&#xff0c;以前的标准库移植的是FreeRTOS V9.0 版…

MPU6050详解(含源码)

前言&#xff1a;MPU6050是一款强大的六轴传感器&#xff0c;需要理解MPU6050首先得有IIC的基础&#xff0c;MPU6050 内部整合了 3 轴陀螺仪和 3 轴加速度传感器&#xff0c;并且含有一个第二 IIC 接口&#xff0c;可用于连接外部磁力传感器&#xff0c;内部有硬件算法支持. 1…

【STM32】定时器PWM模式详解

PWM模式&#xff1a; PWM模式1&#xff0c;向上计数时&#xff0c;PWM信号从有效电平变为无效电平 PWM模式2&#xff0c;向上计数时&#xff0c;PWM信号从无效电平变为有效电平 PWM极性&#xff1a; 极性为高时&#xff0c;高电平为有效电平&#xff0c;低电平为无效电平 极性…

单片机GD32F303RCT6开发(二)—— systick的配置

systick的配置 1、GD官方F303系统的固件库中只提供ms的延时函数&#xff0c;采用的是中断的方式。 2、我们采用poll mode实现us、ms延时 RCU通过AHB时钟(HCLK)8分频后作为Cortex系统定 时器(SysTick)的外部时钟。通过对SysTick控制和状态寄存器的设置&#xff0c;可选择上述时…

cubemx stm32 pca9685pw模块 16路PWM 可用于舵机驱动 驱动代码

资料 淘宝链接请点这里 淘宝资料资料&#xff1a; 链接&#xff1a;https://pan.baidu.com/s/1Kda-c7QdZdQ03FBMa0zeRA 提取码&#xff1a;1234 pca9685pw介绍 这个模块是 I2C 通信控制 16 路 PWM 的模块。 所有路的 频率 是统一设置的&#xff0c;所以每一路的频率都一样&a…

stm32裸机开发下利用MultiTimer多任务时间片询

stm32裸机开发下利用MultiTimer多任务时间片询 &#x1f4cc;MultiTimerGithub地址&#xff1a;https://github.com/0x1abin/MultiTimer ✨这是一个类似Arduino平台上的Ticker库&#xff0c;如需阅读懂源码&#xff0c;起码需要有链表知识的储备&#xff0c;如果仅仅只是拿来使…

频率与周期的精密控制——用SPI输出PWM脉冲

什么是PWM? PWM(Pulse Width Modulation)控制——脉冲宽度调制技术,通过对一系列脉冲的宽度进行调制,来等效地获得所需要波形(含形状和幅值)。PWM在如今的应用十分广泛,电机系统,灯光系统,电源系统,还有一些比较精密的控制系统,都无不存在着PWM的控制方式。 常用的单…

FreeRTOS:列表和列表项

要想看懂 FreeRTOS 源码并学习其原理&#xff0c;有一个东西绝对跑不了&#xff0c;那就是 FreeRTOS 的列表和列表项。列表和列表项是FreeRTOS的一个数据结构&#xff0c; FreeRTOS 大量使用到了列表和列表项&#xff0c;它是 FreeRTOS 的基石。要想深入学习并理解 FreeRTOS&am…

嵌入式电路基础

电路基础 器件基础基本电路术语与符号 信号浮动三态门&#xff08;三态缓冲器&#xff09;上下拉电阻基本元件与逻辑OC/OD门&#xff08;掌握原理&#xff0c;用途&#xff09;开放收集器&#xff08;OC门&#xff0c;NPN型三极管&#xff09;掌握原理、用途漏极开路&#xff0…

TTL、 RS-232,RS-485的区别

TTL、 RS-232&#xff0c;RS-485的区别 参考:【gt】TTL&#xff0c; RS-232&#xff0c;RS-485的区别 前言&#xff1a;串口、UART口、COM口、USB口是指的物理接口形式(硬件)。而TTL、RS-232、RS-485是指的电平标准(电信号)。 TTL &#xff1a;全双工 &#xff0c;逻辑0对应…

STM32单片机多功能电子秤点数秤食物热量卡路里称重

实践制作DIY- GC0132-多功能电子秤 一、功能说明&#xff1a; 基于STM32单片机设计-多功能电子秤 二、功能介绍&#xff1a; STM32F103C系列最小系统lcd1602HX7115Kg电子秤去皮键模式选择按键重量设置键上键下键 有3种模式普通模式、点数模式、卡路里模式。通过模式选…

计算机存储器介绍

阿杰在线补常识~ 目录 &#xff08;一&#xff09;存储器 &#xff08;二&#xff09;RAM &#xff08;三&#xff09;ROM    &#xff08;四&#xff09;外部存储器1 硬盘 &#xff08;五&#xff09;缓存 &#xff08;一&#xff09;存储器 存储器&#xff08;Memory&…

基于STM32的CANopen通信项目(具备FreeRTOS)

基于STM32的CANopen通信项目(具备FreeRTOS) 介绍移植流程功能设计功能介绍从站设计流程配置对象字典编写程序主站设计流程配置对象字典编写程序更多项目介绍 本文档主要介绍如何使用STM32移植CANopen协议,实现正常的数据收发。 硬件:STM32canopen库:Mongo-canfestival-3-…

多功能料理锅语音播放芯片——NV040C

多功能料理锅就是一锅搭配多个锅盘&#xff0c;可以实现火锅、烤肉、花式煎蛋、丸子等多种烹饪功能。 多功能料理锅语音方案设计需求&#xff1a; 多功能锅本身体积有限&#xff0c;按钮比较少&#xff0c;相应功能的字体要贴按钮旁边&#xff0c;字体也是比较小的&#xff0c…

STM32+ESP8266点灯(STA 模式)点灯(2)

1、简介 STM32ESP8266点灯&#xff08;APSTA 模式&#xff09;点灯&#xff08;1&#xff09;一文已经通过串口助手实现与网络调试助手透传&#xff0c;本文通过STM32单片机替代网络调试助手&#xff0c;实现远程点灯。 2、单片机配置 2.1 cubemax配置 2.1.1 RCC配置 2.1.2…

STM32+UART串口+DMA收发

目录 1、cubemax端配置 1.1 初始化配置 1.2 GPIO配置 1.3 UART配置 1.3.1 串口基础配置 1.3.2 DMA配置 2、keil端代码设计 2.1 初始化配置 2.2 DMA接收初始化配置 2.3 DMA发送配置 2.4 接收回调函数设置 2.5 回调函数内容代码编写 2.5.1 接收回调函数 2.5.2 发送回调…

实验:串口输入相应的命令控制对应的硬件进行工作

实验要求&#xff1a;串口输入相应的命令控制对应的硬件进行工作 例如&#xff1a; 在串口工具输入LED1ON --------> LED1灯亮 PE10 在串口工具输入LED1OFF --------> LED1灯熄灭 在串口工具输入LED2ON --------> LED2灯亮 PF10 在串口工具输入LED2OFF --------> …

sx1308一些异常波形调试

板子开源https://oshwhub.com/jhx275816/yi-ge-jian-dan-de-li-dian-chi-chong-dian-mo-kuai-yi-jing-ce-shi 小学弟翻出来以前画的这个板子&#xff0c;焊好准备给GPS以及单片机供电&#xff08;大约需要500ma电流&#xff09;&#xff0c;发现SW异常波形,输出不正常 经查&am…

STM32F4_按键输入实验

目录 1. 硬件分析&#xff1a; 2. 软件分析&#xff1a; 2.1 扫描IO口 2.2 书写步骤 2.3 程序_按键输入 2.3.1 位段的用处 2.3.2 按键扫描式_程序 2.3.3 51学习的判断是否松开按键的程序&#xff08;参考&#xff09; 1. 硬件分析&#xff1a; STM32F4xx系列按键 KEY0接…

FlyMCU设置

DTR的低电平复位&#xff0c;RTS高电平进BootLoader

ubuntu下绑定USB,包括同型号设备名称---CP210*系列

&#xff1a;ubuntu下绑定USB&#xff0c;包括同型号设备名称—CP210*系列 链接: 参考链接 一、问题描述 在ROS中使用串口通讯时&#xff0c;由于传感器插入树莓派端口时间顺序不同&#xff0c;导致其对应的端口号也不同。先插上的是/dev/ttyUSB0,后插上的是/dev/ttyUSB1等等…

基于ESP32和blinker的红外小夜灯控制

一. 系统设计及框图&#xff1a; 本设计可以实现通过手机APP使用蓝牙或WIFI远程控制红外设备&#xff0c;也可以通过离线语音模块语音控制红外设备。可以控制市面上常见的NEC格式的红外设备, 这里是控制小夜灯&#xff0c;其它红外设备在控制原理上是相通的。本设计可用作课程…

【外设零基础通用教程】GPIO 下

【外设零基础通用教程】GPIO 下使用方法GPIO 值输入读取值输出设置值GPIO输入输出应用GPIO输入应用GPIO输出应用文档使用理论补充输出方式推挽输出开漏输出上篇连接&#xff1a;【外设零基础通用教程】GPIO 上&#xff0c;主要是在做视频的时候&#xff0c;发现上篇理论很多&am…

调试CAN过滤器功能使用笔记

一.关于CAN过滤器的配置及使用 提示&#xff1a;此处使用的是雅特力的芯片&#xff08;基本兼容stm32的芯片&#xff09; 这里只讲32位宽的过滤器&#xff0c;16位的用法基本相同&#xff0c;注意因为位数减少数据不一样。 1.1首先过滤器有两种工作模式&#xff1a; 1.标识符…

国民技术N32G430开发笔记(12)- IAP升级 Settings区域数据初始化

IAP升级 Settings区域数据初始化 1、假如&#xff0c;有两个产品&#xff0c;A产品跟B产品&#xff0c;硬件都一样&#xff0c;要求一个软件里的board_name为N32G430C8L7_STB_A&#xff0c;另一个软件里的board_name为N32G430C8L7_STB_B。 那我们如何在不改boot程序跟App程序的…

基于标准库函数的STM32的freertos的移植(三)——MDK工程搭建、配置与修改

1.打开MDK5软件&#xff0c;新建MDK工程&#xff0c;将新建工程文件保存在Project_Stm32f407/mdk文件夹下&#xff0c;并将工程命名为freertos_M4&#xff0c;选择MCU型号为STM32F407ZG&#xff0c;新建工程文件的步骤如下图所示&#xff1a; 图1 新建工程 图2 保存工程路径和工…

STM32单片机(三)第三节:GPIO输入

❤️ 专栏简介&#xff1a;本专栏记录了从零学习单片机的过程&#xff0c;其中包括51单片机和STM32单片机两部分&#xff1b;建议先学习51单片机&#xff0c;其是STM32等高级单片机的基础&#xff1b;这样再学习STM32时才能融会贯通。 ☀️ 专栏适用人群 &#xff1a;适用于想要…

嵌入式学习笔记——IIC通信

IIC通信 前言IIC概述通信特征物理拓扑结构IIC通信的流程IIC的特点&#xff1a; STM32的IIC通信GPIO模拟IICIIC的时序组成&#xff08;主机对从机写入数据&#xff09;1.起始信号2.器件地址与读写位3.从机应答信号5.传输的数据与结束信号 IIC的时序组成&#xff08;主机对从从机…

2.5.4 打印多个值

这段话告诉我们&#xff0c;在程序的最后&#xff0c;使用了printf()函数&#xff0c;它会在屏幕上输出一些东西。这个函数的作用就像是发言人&#xff0c;能够让程序输出人话。通过使用printf()函数&#xff0c;程序输出的结果是&#xff1a;“There are 12 feet in 2 fathoms…

五.microchip 代理贝能的sam d51(LQFP100PIN)与LAN9253 电机控制开发板使用总结(3)

五.microchip 代理贝能的sam d51(LQFP100PIN)与LAN9253 电机控制开发板使用总结&#xff08;3&#xff09; 3.4 板子相关外设配置 3.4.1 板子MCU硬件 的LAN9253连接接口 pin numpin idcus_namefuncmodedirlatchpush uppush downdir str1PA00GPIO_PA0_D0GPIOINYNORMAL2PA01GP…

STM32驱动dht11检测温湿度由OLED12864显示

硬件环境 本次实验所使用的硬件环境如下&#xff1a; STM32F103C8T6开发板 DHT11温湿度传感器 OLED12864显示屏 杜邦线若干 软件环境 本次实验所使用的软件环境如下&#xff1a; Keil C51编译器 ST-LINK V2下载器 ST-LINK Utility软件 实验流程 硬件连接 首先&…

A01-固件库开发模板

&#x1f9d1;‍&#x1f393; 个人主页&#xff1a;Silence Lamb &#x1f4d6; 本章内容&#xff1a;【固件库开发模板】 Silence-STM v1.0.0 基于固件库开发模板 一、前提准备 &#x1f680;从ST官网获取stm32f103标准例程固件库&#x1f680; 参考文档 1.1&#x1f333;…

P20[6-8]编码器接口测速(软)

与外部中断编码器逻辑不同,此处编码器使用的是定时器方法 1.Encoder编码器部分: #include "stm32f10x.h" // Device header void Encoder_Init(void) { RCC_APB1PeriphClockCmd(RCC_APB1Periph_TIM3, ENABLE); RCC_APB2PeriphClockCm…

stm32 APB1和APB2的区别

APB1外设时钟使能寄存器(RCC_APB1ENR) 低速APB使能&#xff0c;最大允许频率36MHz APB2外设时钟使能寄存器(RCC_APB2ENR) 高速APB使能&#xff0c;最大允许频率72MHz 这里的外设是相对Cortex M3的内核来讲的。这两个寄存器都是32的&#xff0c;占用四个字节。这两个寄存器都位于…

CLION开发STM32之W5500系列(一)

开篇说明 本系列适用于需要使单片机通过网口进行通信的开发。针对的是刚入门的同学们,也是个人的经验分享。本次使用到的芯片为stm32f103vet6(其他的也可以)本次使用的网口模块为W5500,其网关有示例程序均可以参考.本次使用Clion+OpenOCD+ARM-GCC 进行开发、烧录、编译.建议熟…

编码器分类及原理和测速应用(含代码)

文章目录杂谈前言一、何为编码器二、编码器的分类1、增量式编码器2、绝对式编码器3、霍尔编码器三、带编码器的直流减速电机详解1、直流减速电机的概念2、如何运用编码器进行测速3、脉冲数转变成速度值方法4、程序代码总结杂谈 这篇博文写的时间确实有几天了&#xff0c;主要是…

RTOS概述

001、概述 特点 分而治之&#xff1a;实现功能划分为多个任务延时函数&#xff1a;不会空等待&#xff0c;会让出CPU的使用权给其他任务&#xff0c;即任务调度抢占式&#xff1a;高优先级任务抢占低优先级任务任务堆栈&#xff1a;每个任务都有自己的栈空间&#xff0c;用于…

STM-32:SPI通信外设

目录 一、前言二、SPI功能框图三、SPI通信读写数据 一、前言 STM32的SPI外设可用作通讯的主机及从机&#xff0c;支持最高的SCK时钟频率为fpclk/2 (STM32F103型号的芯片默认 fpclk1为 36MHz&#xff0c;fpclk2为 72MHz)&#xff0c;完全支持 SPI协议的4种模式&#xff0c;数据…

【stm32疑难杂症】:Error: L6218E: Undefined symbol TIM_Cmd (referred from timer.o).

项目场景&#xff1a; 在使用工程是发现问题&#xff1a; ..\OBJ\OLED.axf: Error: L6218E: Undefined symbol TIM_Cmd (referred from timer.o). ..\OBJ\OLED.axf: Error: L6218E: Undefined symbol TIM_ITConfig (referred from timer.o). ..\OBJ\OLED.axf: Error: L6218E: …

STM32 HAL 库驱动 ESP8266 WiFi 模块

STM32 HAL 库驱动 ESP8266 WiFi 模块 实验原理 关于 ESP8266 WiFi 模块使用原理可以看我前面的博客 WiFi 驱动代码连接将会放到文末 这里我们将芯片换为 STM32F103ZET6&#xff0c;别问为什么&#xff0c;问就是引脚资源多 CubeMX 配置 USART2 与 USART3 配置 这里我们使用的配…

atbf中imu数据的读取与处理方式

一、说明 本文为作者在阅读atbf源码的过程中&#xff0c;对atbf中imu数据的读取和处理方式的个人理解&#xff0c;可能存在不对之处&#xff0c;意在抛砖引玉&#xff0c;请各位老师多多指正&#xff1b; 二、数据读取流程图 1、target NEUTRONRCF435SE 不同的target所定义…

STM32使用esp01s上云,MQTT.fx调试

文章目录1、mqtt协议基本概念2、mqtt协议使用3、使用MQTT.fx连接3.1、连接MQTT物联网套件-使用MQTTS协议3.2、使用多协议接入-使用MQTT协议3.3、接入腾讯云控制台3.4、接入阿里云控制台去年做过一点上云的实践&#xff0c;现在把它记录下&#xff0c;协议这块懂得不是很多&…

8 系统定时器(Systick)

目录 系统定时器&#xff08;Systick&#xff09; SysTick定时器特性介绍 SysTick定时器的功能 SysTick定时器寄存器介绍 Systick定时器的使用 系统定时器&#xff08;Systick&#xff09; SysTick定时器特性介绍 计数宽度&#xff1a; 24bit来存储数据&#xff0c;2^24…

STM32cubeMX配置工程(全过程+修改MCU方法+注意事项)

1.修改默认的固件包下载路径&#xff0c;避免占用C盘空间 2、选择ACCESS TO MCU 3、在跳出的界面中的Part Number中搜索对应的芯片型号 4、选好后在右下角双击对应的芯片&#xff0c;然后跳出配置界面 左边可以配置外设&#xff0c;右边可以配置每个IO口的功能 System Core包括…

stm32或gd32移植libcanard实现UAVCAN协议

一、源码下载 1、git下载 点击我下载 2、csdn下载 自己上传的点击下载 二、源码移植 我自己是使用rt-thread操作系统移植的。但是不局限与操作系统&#xff0c;裸机也可以。 1、首先将源码加入到工程 2、分别实现一个内存的分配与释放函数&#xff0c;他是一个指针函数&…

STM32F030C8T6最小系统板和流水灯(原理图和PCB)

STM32F030C8T6最小系统板和流水灯。 嵌入式课的课程设计&#xff0c;要做个流水灯&#xff0c;我就顺便画个最小系统板&#xff0c;开源出来了&#xff0c;各位大佬指点指点&#xff0c;有哪里需要优化改进的。 那个WS2812的RGB灯用错引脚了&#xff0c;所以没法用PWM来控制&…

STM32的FSMC详解

STM32的FSMC详解_魏波.的博客-CSDN博客STM32 FSMC/FMC原理保姆级讲解(一)_Z小旋的博客-CSDN博客

数据传输中的数据转换与处理的常用方法-物联网开发-单片机通信

目录 一、前言 二、实践与代码 1.Unsigned Char 2.memset 3.sprintf 4.atoi 5.atof 6.strcmp 7.strtok 8.strlen 9.strcpy 10.strcat 三、总结 一、前言 本文将以STM32单片机为基础&#xff0c;使用Keil5环境展示以下方法。 在单片机通信、载波通信中&#xff0c;常常涉及数…

FreeRTOS:队列

目录 前言一、队列简介1.1数据存储1.2多任务访问1.3出队阻塞1.4入队阻塞1.5队列操作过程图示1.5.1创建队列1.5.2向队列发送第一个消息1.5.3向队列发送第二个消息1.5.4从队列中读取消息 二、队列结构体三、队列创建3.1创建函数3.2函数xQueueCreateStatic()3.3函数xQueueCreate()…

继电器相关知识

这个就是继电器&#xff0c;左边有三个接口&#xff0c;VCC(3.3v),GND,IO右面有COM,NO,NC。左侧的IO口如果接受到低电平&#xff0c;继电器内部线圈就会工作&#xff0c;然后供电&#xff0c;开关由NC端闭合到NO端&#xff0c;NO开始闭合&#xff0c;例如&#xff1a;可以将喇叭…

带你开发一个远程控制项目---->STM32+标准库+阿里云平台+传感器模块+远程显示。

目录 本次实验项目&#xff1a; 下次实验项目&#xff1a; 本次项目视频结果/APP/实物展示 实物展示 APP展示 视频展示 模块选择说明; 温湿度传感器模块介绍 光照传感器介绍 ESP8266-01S模块介绍 本次实验项目&#xff1a; 项目清单平台单片机语言实现温湿度传感器模…

四、HAL_驱动机械按键

1、开发环境。 (1)KeilMDK&#xff1a;V5.38.0.0 (2)STM32CubeMX&#xff1a;V6.8.1 (3)MCU&#xff1a;STM32F407ZGT6 2、机械按键简介 (1)按键内部是机械结构&#xff0c;也就是内部是没有电路的。按键按下内部引脚导通&#xff0c;松开内部断开。 3、实验目的&原理…

【排错记录】国产航顺HK32F030M驱动TM1624四位数码管显示

问题描述&#xff1a; 航顺单片机 HK32F030MF4P6用数码管显示驱动TM1624问题描述。 航顺单片HK32F030MF4P6的PC3/PC4/PC5引脚分别连接数码管驱动TM1624的DIN/CLK/STB;当单独使用HK32F030MF4P6单片机最小系统和TM1624数码管模块的时候部分最小系统板能驱动数码管正常显示&…

【STM32F1】以太网通信之UDP/TCP实验

在本实验中&#xff0c;开发板主控芯片通过 SPI 接口与 CH395Q 以太网芯片进行通讯&#xff0c;从而完成对 CH395Q 以太网芯片的功能配置、数据接收等功能&#xff0c;同时将 CH395Q 以太网芯片的 Socket0 配 置为 UDP 模式&#xff0c;并可通过按键发送 UDP 广播数据至其他的 …

stm32外设笔记-freertos配置(一)

文章目录1、内核参数介绍2、基本任务创建3、绝对延时和相对延时本文用到的实验平台&#xff1a; 野火MINI-stm32开发板STM32CUBE-IDE开发工具 随着产品要实现的功能越来越多&#xff0c;单纯的裸机系统已经不能够完美地解决问题&#xff0c;反而会使编程变得更加复杂&#xff…

硬件I2C读写MPU6050代码

1、接线图 SDA接在B11,SCL接在B10 &#xff0c;软件IIC的两个引脚可以任意更改的&#xff0c;因为都是开漏输出&#xff0c;硬件接在哪个引脚上&#xff0c;程序中就对应操作哪个引脚 但是硬件IIC&#xff0c;通信引脚是不可以任意指定的&#xff0c;查表&#xff0c;由于PB6、…

STM32F4_DAC数模转换

目录 1. DAC简介 2. DAC框图 3. DAC功能介绍 3.1 DAC通道使能 3.2 DAC输出缓冲器使能 3.3 DAC数据格式 3.4 DAC转换 3.5 DAC输出电压 3.6 DAC触发选择 3.7 DMA请求 3.8 生成噪声 3.9 生成三角波 4. 相关寄存器 4.1 DAC控制寄存器&#xff1a;DAC_CR 4.2 DAC1通道…

stm32外设笔记-LCD(一)

文章目录1、LCD1602驱动原理2、LCD1602驱动实战3、LCD1602四线驱动4、LCD12864驱动原理5、LCD12864并行驱动6、LCD12864串行驱动7、LCD12864取模图片显示本文用到的实验平台&#xff1a; 野火MINI-stm32开发板STM32CUBE-IDE开发工具 1、LCD1602驱动原理 这个是属于之前用51单…

STM32速成笔记—串口通信

文章目录 一、什么是串口通讯二、串口通讯有什么用三、STM32的串口通信四、串口通信相关概念4.1 波特率4.2 全双工和半双工4.3 同步通信和异步通信 五、硬件连接六、串口通讯程序配置6.1 使能串口时钟和GPIO时钟6.2 初始化GPIO6.3 初始化串口参数6.4 使能串口6.5 串口接收中断6…

STM32 | 串口IAP实例分享

1024G 嵌入式资源大放送&#xff01;包括但不限于C/C、单片机、Linux等。关注微信公众号【嵌入式大杂烩】&#xff0c;回复1024&#xff0c;即可免费获取&#xff01; 什么是IAP&#xff1f; IAP是In Application Programming的首字母缩写&#xff0c;IAP是用户自己的程序在运…

stm32外设总结-定时器使用

文章目录1、stm32定时器资源概述2、使用定时器基本定时3、单脉冲模式来写延时函数4、外部时钟输入5、输入捕获测量频率和脉宽6、PWM输入7、PWM输出8、PWM输出的一些模式9、PWM互补输出10、编码器模式11、基于定时器的伪系统12、更多&#xff01;&#xff01;&#xff01;本文用…

51单片机学习笔记(清翔版)(23)——红外通讯

DS18B20课后题&#xff1a; 负数以补码形式存在的。 如果是负数&#xff0c;那么S全为1&#xff0c;前面那些不看&#xff0c;我们只看最后一个S&#xff0c;当它为1时&#xff0c;那么就是0x08&#xff0c;所以只要高8位大于等于0x08&#xff0c;就是负数。 其中s0x40这里 上面…

TMS320C6748定时器32位模式

C6748的定时器在32位模式下有两种模式选择&#xff0c;一种是关联模式&#xff08;chained mode&#xff09;&#xff0c;另一种是非关联模式&#xff08;unchained mode&#xff09;。可以通过设置定时器全局寄存器&#xff08;timer global control register (TGCR)&#xff…

记录为什么程序跑着跑着突然重启

这里写自定义目录标题 欢迎使用Markdown编辑器新的改变功能快捷键合理的创建标题&#xff0c;有助于目录的生成如何改变文本的样式插入链接与图片如何插入一段漂亮的代码片生成一个适合你的列表创建一个表格设定内容居中、居左、居右SmartyPants 创建一个自定义列表如何创建一个…

STM32基本外设超详细44000字教程

GPIO GPIO&#xff08;General Purpose Input Output&#xff09;通用输入输出口可配置为8种输入输出模式引脚电平&#xff1a;0V~3.3V&#xff0c;部分引脚可容忍5V输出模式下可控制端口输出高低电平&#xff0c;用以驱动LED、控制蜂鸣器、模拟通信协议输出时序等输入模式下可…

电子蜡烛灯单片机开发方案

LED蜡烛灯可以像真正的蜡烛一样发出舒适的闪烁光&#xff0c;具有仿真蜡烛效果&#xff0c;适合在一些聚会或庆祝活动中使用。宇凡微推出的低成本LED蜡烛灯IC方案&#xff0c;根据不同电子蜡烛灯方案&#xff0c;主控芯片推荐使用YF单片机。 LED蜡烛灯是有孩子的家庭很好蜡烛替…

嵌入式Linux | 通俗易懂的LED驱动分析

1024G 嵌入式资源大放送&#xff01;包括但不限于C/C、单片机、Linux等。关注微信公众号【嵌入式大杂烩】&#xff0c;回复1024&#xff0c;即可免费获取&#xff01; 前言 上一篇我们分享了字符设备驱动框架&#xff0c;当时分享的是hello驱动程序。学STM32我们从点灯开始&am…

STM32平衡小车 TB6612电机驱动学习

TB6612FNG简介 单片机引脚的电流一般只有几十个毫安&#xff0c;无法驱动电机&#xff0c;因此一般是通过单片机控制电机驱动芯片进而控制电机。TB6612是比较常用的电机驱动芯片之一。 TB6612FNG可以同时控制两个电机&#xff0c;工作电流1.2A&#xff0c;最大电流3.2A。 VM电…

STM32下载出错:flash timeout.reset the target and try it again

STM32下载出错&#xff1a;flash timeout.reset the target and try it again1、出错提示2、解决方法下载连接1、出错提示 编译环境&#xff1a;Keil5 下载器&#xff1a;高速DAP&#xff0c;STLINK 在Keil5点击LOAD下载时&#xff0c;先是卡了一会&#xff0c;然后弹出flash …

STM32 | 分享几个开源的测试框架

1024G 嵌入式资源大放送&#xff01;包括但不限于C/C、单片机、Linux等。关注微信公众号【嵌入式大杂烩】&#xff0c;回复1024&#xff0c;即可免费获取&#xff01; 这是一篇测试相关的笔记。我们软件开发最终都离不开测试的&#xff0c;可以通过测试来发现很多问题。在这之前…

段码LCD研究 | 用HT1621B驱动段码LCD屏

【本文发布地址https://blog.csdn.net/Stack_/article/details/117532877&#xff0c;未经许可不得转载&#xff0c;转载须注明出处】 一、探索 这是一个从乐心血压计上拆下来的屏幕&#xff0c;有40个引脚&#xff0c;其中1和40、2和39、3和38、4和37在PCB上是连起来的&#…

stm32【 NRF24L01多通道、动态数据、主从一体(2)】

nRF24L01多通道通讯 测试平台&#xff1a;stm32f103c8t6 库版本&#xff1a;官方库3.5版本 在上一篇NRF一对一通讯里详细介绍了nRF24L01模块的一对一通讯配置&#xff0c;以及主从一体代码的实现&#xff0c;文章篇幅较长&#xff0c;还请耐心阅读。 多通道都是基于单通道修改…

KEIL调用Show Disassembly at Adress的方法

在keil的调试界面中&#xff0c;找到“Disassembly”窗口&#xff0c;在这个窗口的空白处点右键&#xff0c;出现的右键菜单中选择“Show Disassembly at Adress…”就能弹出这个窗口了。

ArduPilot开源代码之AP_InertialSensor_Backend

ArduPilot开源代码之AP_InertialSensor_Backend 1. 源由2. 设计3. 实例BMI2703.1 AP_InertialSensor_BMI2703.2 probe3.3 init3.4 start3.5 read_fifo3.6 update 4. 总结5. 参考资料 1. 源由 惯性传感器是飞控关于姿态最重要的一个传感器。从复杂度角度看&#xff0c;除了数据…

STM32速成笔记—DMA

文章目录 一、什么是DMA二、DMA有什么作用三、STM32的DMA3.1 DMA请求3.2 DMA通道3.3 仲裁器 四、DMA配置4.1 DMA配置步骤4.2 DMA结构体成员 五、DMA配置程序5.1 ADC1初始化程序5.2 DMA初始化程序 一、什么是DMA DMA全程Direct Memory Access&#xff0c;即直接存储器访问。简单…

创建第一个FreeRTOS任务

创建第一个FreeRTOS任务 一.序言二.创建任务2.1 句柄2.2 创建任务函数 三.代码实例四.实验现象 一.序言 其实FreeRTOS也没大家现象的那么困难&#xff0c;跟着博主的思路其实也挺容易上手的。前面几篇博客已经教大家搭建好FreeRTOS。这篇文章就是教大家实战&#xff0c;创建你…

51单片机学习笔记(清翔版)(19)——串口通信

学好了中断再学串口通信 今天这课内容是关于数据传输的&#xff0c;在工业控制和商业控制是很重要的。数据传输包含串口、并行通信。 这里说的计算机都可以理解为单片机&#xff0c;因为工作方式是一样的。 单片机通信是指单片机之间&#xff0c;单片机与外部设备之间(温度传感…

51单片机学习笔记(郭天祥版)(6)——键盘的作业题、AD、DA、DS18B20(这里之后看清翔的补一下好了)...

A:analog&#xff0c;D&#xff1a;digital AD&#xff0c;就是模拟量转换为数字量&#xff0c;DA就是数字量转换为模拟量 为什么要转换&#xff1f; 单片机是数字芯片&#xff0c;内部只有0和1&#xff0c;没法表示模拟量 比如我们如果需要2.5V怎么办&#xff1f;其实是由单片…

GPIO模拟时序控制外设1——WS2812B

文章目录 前言WS2812B1.模块简介2.时序介绍3.硬件介绍4.传输速率&#xff0c;以及帧数要求 代码1.初始化2.模拟时序1.复位函数2.发送0、1码3.封装发送函数 总结 前言 上一篇文章中介绍了整个板子的最基本功能模块——使用GPIO的通用输入输出实现简单的按键输入以及推挽输出控制…

云平台 stm32连接oneNET保姆级别教学只看这一篇就够了~

1 注册账号 oneNET点击直达 如图点击右上角开发者中心 点击多协议接入 点击添加产品 如下图设置参数 点击立即添加设备 点击添加设备 如下图设置参数 点击右边的详情查看设备ID和鉴权信息 点击产品概况获取 产品ID 平台注册告一段落 你现在拥有了一个oneNET账号 设备ID …

16.STM32RTC实时时钟知识与实验

1.实时时钟 RTC是一个独立的BCD定时器/计数器。RTC提供一个日历时钟&#xff0c;两个可编程闹钟中断&#xff0c;以及一个具有中断功能的周期性可编程唤醒标志。RTC还包括用于管理低功耗模式的自动唤醒单元。 有两个32位的寄存器&#xff0c;包含二进制吗十进制格式BCD的秒&am…

13.5STM32电容按键实验

1.RC充放电原理 一开始按&#xff0c;压差在电阻上&#xff0c;慢慢在压差在电容上。 2.RC充放电公式 电容与充电时间关系 3.电容触摸原理 没有摸下时候只有一个电容 摸下就有两个电容连接&#xff0c;充电时间更长 4.电容触摸按键过程 4.电容触摸硬件连接 就是将PA5与TPAD连…

2.STM32小灯实验

1.重要函数 2.函数讲解 作用 1.void HAL_GPIO_Init(GPIO_TypeDef GPIOx, GPIO_InitTypeDef GPIO_Init); 该函数非常重要&#xff0c;可以初始化一组IO口下一个或一组IO口&#xff08;一组设置的话都必须一样&#xff09; 输入还是输出&#xff0c;还是上下拉&#xff0c;推挽还…

12 . 中断

中断介绍 中断就是当CPU 执行程序时&#xff0c;由于发生了某种随机的事件(外部或内部)&#xff0c;引起CPU 暂时中断正在运行的程序&#xff0c;转去执行一段特殊的服务程序(中断服务子程序或中断处理程序)&#xff0c;以处理该事件&#xff0c;该事件处理完后又返回被中断的…

STM32实验-PWM DAC模拟输出

一般一个STM32只有2个DAC输出通道&#xff0c;如果需要多路DAC输出&#xff0c;可以选择外扩DAC&#xff0c;但成本回相当高。于是在一些精度要求不高的场合&#xff0c;我们采用定时器输出PWM和RC滤波器模拟DAC来代替外扩DAC。 PWM占空比可由以下式子计算出&#xff1a;p n …

STM32重映射的功能机理及代码实现

STM32的重映射功能可以将某些外设的引脚映射到其他的GPIO引脚上&#xff0c;从而方便用户在设计电路时更加灵活地使用外设。下面是重映射的机理及代码实现&#xff1a; 1. 重映射机理 重映射的机理是通过修改AFIO的寄存器来实现的。AFIO是一个复用功能IO控制器&#xff0c;它…

[GD32F103CBT6] STM32HAL库+串口+Modbus RTU 从机

提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档 文章目录 一、Modebus RTU报文格式二、Modbus调试器三、GD32F103CBT6程序(HAL库)1.串口配置2.Modbus 监控数据接收3.CRC16校验程序4.解析Mobus RTU报文总结本文章是记录Modbus RTU 从机的介绍及程序。 一、…

在STM32F4硬件上实现XCAN PRO/PRO FD/FD USB2CAN固件与stm32f4 socketcan_c的集成

目录 引言 一、STM32F4硬件概述 二、XCAN PRO/PRO FD/FD USB2CAN固件 三、stm32f4 socketcan_c 四、集成过程 步骤2&#xff1a;驱动程序安装 步骤4&#xff1a;编程 结论 引言 在控制器面板网络&#xff08;CAN&#xff09;的世界中&#xff0c;使用恰当的工具和技术…

从零开始手搓一个STM32与机智云的小项目——GPIO的输入输出

文章目录 前言GPIO简介GPIO的命名与数量GPIO的功能STM32F1 GPIO的寄存器 库函数开发搭建库函数的工程查看原理图WACK_UP输入按键继电器输出138控制流水灯 代码编写库函数简介GPIO输出模式控制继电器通过138控制ledGPIO实现按键输入的操作编写逻辑代码 实物效果 总结 前言 上一…

配置系统时钟(stm32)

一、使用HSE配置系统时钟的过程 1.开启HSE&#xff0c;并等待HSE稳定 __IO uint32_t HSEStartUpStatus 0; // 使能HSE,开启外部晶振 RCC_HSEConfig(RCC_HSE_ON); //等待HSE启动稳定 HSEStatus RCC_WaitForHSEStartUp();注&#xff1a;在判断是否HSE启动的时候&#xff0c…

【平衡车】电机编码器知识总结

文章目录前言一、编码器二、编码器的原理三、STM32编码器模式四、实验代码五、为什么65535强制类型转换后会变成-1前言 该实验是平衡车的一部分知识&#xff0c;主要是用到STM32定时器的编码模式。本文主要介绍与之相关的知识点&#xff0c;包括编码器的原理&#xff0c;STM32…

STM32学习 6月27日

51单片机中有时钟和时钟树的概念&#xff0c;外设只有GPIO、定时器、和一个串口&#xff0c;使用的都是11.0592MHZ的频率&#xff0c;除了定时器外&#xff0c;其他外设只要上电就可以使用。 stm32每个外设都有对应控制的开关&#xff0c;其所使用的频率各不相同&#xff0c;需…

stm32使用寄存器点亮 LED 灯

1、启动文件 名为“startup_stm32f429_439xx.s”的文件&#xff0c;它里边使用汇编语言写好了基本程序&#xff0c;当 STM32 芯片上电启动的时候&#xff0c;首先会执行这里的汇编程序&#xff0c;从而建立起 C 语言的运行环境&#xff0c; 所以我们把这个文件称为启动文件。2、…

STM32单片机(九)USART串口----第六节:USART串口数据包实战练习(串口收发HEX数据包)

❤️ 专栏简介&#xff1a;本专栏记录了从零学习单片机的过程&#xff0c;其中包括51单片机和STM32单片机两部分&#xff1b;建议先学习51单片机&#xff0c;其是STM32等高级单片机的基础&#xff1b;这样再学习STM32时才能融会贯通。 ☀️ 专栏适用人群 &#xff1a;适用于想要…

407,ec11,内部flash,保存参数调出参数

flash.h #ifndef __flash_H #define __flash_H #include "sys.h" extern u32 flash1_rx_buff[200];//读取flash内容存放到该数组 extern u32 flash1_rx_len;extern u32 flash1_tx_buff[200];//将该数组写入flash extern u32 flash1_tx_len;/*-----------------…

STM32单片机(五)第二节:EXTI外部中断练习(对射式红外传感器计次和旋转编码器计次)

❤️ 专栏简介&#xff1a;本专栏记录了从零学习单片机的过程&#xff0c;其中包括51单片机和STM32单片机两部分&#xff1b;建议先学习51单片机&#xff0c;其是STM32等高级单片机的基础&#xff1b;这样再学习STM32时才能融会贯通。 ☀️ 专栏适用人群 &#xff1a;适用于想要…

两个HC-05蓝牙之间的配对

两个HC-05蓝牙之间的配对 文章目录 两个HC-05蓝牙之间的配对1.进入AT指令模式后&#xff0c;先确定是否为AT模式&#xff1a;2.获取模块A,B的地址3.将蓝牙A配置为主模式&#xff0c;将蓝牙B配置为从模式&#xff1a;4.设置模块通信波特率,蓝牙模块A和B的配置需要相同6.验证 买了…

STM32单片机(六)TIM定时器 -> 第五节:TIM输入捕获

❤️ 专栏简介&#xff1a;本专栏记录了从零学习单片机的过程&#xff0c;其中包括51单片机和STM32单片机两部分&#xff1b;建议先学习51单片机&#xff0c;其是STM32等高级单片机的基础&#xff1b;这样再学习STM32时才能融会贯通。 ☀️ 专栏适用人群 &#xff1a;适用于想要…

STM32中断标志:先清理后清理的区别

各种教程中&#xff0c;都只解释中断的机制、使用。但对于中断标志的清理顺序&#xff0c;没多少官方准确的资料。 今天在F429的代码里&#xff0c;又遇到问题&#xff1a;进中断后卡死跳不出来&#xff0c;各种排查没发现问题。 快要出门时&#xff0c;才突然想起来&#xf…

STM32 --- F429寄存器GPIO使用

喜欢用寄存器的方式来敲代码, 很爽很爽的感觉~~ 为了方便查阅, 工作之余把各个外设的寄存器整理成表格了; 分享喜欢使用寄存器的朋友 ! 寄存器完整Excel : STM32F429之寄存器表寄存器工程模板 : 完整工作模板10.1寸显示屏 实例工程 : STM32 LTDC 汉字输出 喜欢就用力帮点赞喔…

STM32开发---F429寄存器RCC使用

喜欢用寄存器的方式来敲代码, 很爽很爽的感觉~~ 为了方便查阅, 工作之余把各个外设的寄存器整理成表格了; 分享喜欢使用寄存器的朋友 ! 寄存器完整表格 : STM32F429之寄存器表寄存器工程模板 : 完整工作模板10.1寸显示屏 实例工程 : STM32 LTDC 汉字输出 喜欢就用力帮点赞喔!…

keil5中添加lpc213x系列芯片

好久不见 keil5中添加lpc213x芯片 1.首先下载keil5&#xff0c;网上资料很多&#xff0c;这里就不再多述了&#xff1b; 2.然后去这个网站&#xff1a;http://www2.keil.com/mdk5/legacy 这里要注意&#xff0c;安装的位置要是你keil5文件的位置 3.重新打开kei5&#xff0c;…

STM32速成笔记—GPIO

文章目录 一、什么是GPIO二、GPIO的输入/输出模式三、GPIO初始化配置四、Boot引脚五、一些特殊的GPIO六、点亮LED1. 硬件电路2. 拉高/拉低GPIO3. 程序设计 七、GPIO的位带操作 一、什么是GPIO GPIO(英语:General-purpose input/output)&#xff0c;通用型之输入输出的简称&…

串口的不同发送方式

一、中断的直接收发 Res USART_ReceiveData(USART1); //读取接收到的数据 USART_SendData(USART1,Res);//发送数据 void USART1_IRQHandler(void) //串口1中断服务程序 {u8 Res;if(USART_GetITStatus(USART1, USART_IT_RXNE)) //接收中断{Res USART_Rece…

串口通讯的配置

串口以及中断的配置&#xff1a; #if EN_USART1_RX //如果使能了接收 //串口1中断服务程序 //注意,读取USARTx->SR能避免莫名其妙的错误 u8 USART_RX_BUF[USART_REC_LEN]; //接收缓冲,最大USART_REC_LEN个字节. //接收状态 //bit15&#xff0c; 接收完成标志 //b…

SPI接口原理与配置

SPI接口简介 SPI是英语Serial Peripheral interface的缩写,顾名思义就是串行外围设备接口。是Motorola首先在其MC68HCXX系列处理器上定义的。 SPI是一种高速的,全双工,同步的通信总线,并且在芯片的管脚上只占用四根线&#xff08;两根通信线&#xff0c;一根时钟引脚&…

待机唤醒原理

1.STM32低功耗模式 当CPU不需要运行的时候&#xff0c;可以利用多种低功耗模式节省功耗&#xff0c;列如等待某个事件触发。 STM32的3种低功耗模式:1睡眠模式:内核停止,外设如NVIC,系统时钟Systick仍运行。 2停止模式:所有时钟都已停止。1.8V内核电源工作。 …

RTC实时时钟

RTC (Real Time Clock):实时时钟 RTC是个独立的定时器。RTC模块拥有一个连续计数的计数器&#xff0c;在相应的软件配置下,可以提供时钟日历的功能。修改计数器的值可以重新设置当前时间和日期。 RTC模块和时钟配置系统(RCC_BDCR寄存器)是在后备区域,即在系统复位或从…

USMART调试组件

什么是USMART? USMART是正点原子团队为其STM32开发平台开发的一种类似linux的shell的调试工具。具体工作过程是通过串口发送命令给单片机,然后单片机收到命令之后调用单片机里面对应的相关函数,并执行,同时支持返回结果。 USMART调试过程:&#xff1a; USMART应用场景&#x…

32单片机PWM

(ARR确定周期&#xff0c;CCRx确定占空比&#xff09; PWM模式 脉冲宽度调制模式可以产生一个由TIMx_ARR寄存器确定频率、由TIMx_CCRx寄存器确定占空比的信号。 在TIMx_CCMRx寄存器中的OCxM位写入110(PWM模式1)或111(PWM模式2)&#xff0c;能够独立地设置每个OCx输出通道产生一…

基于STM32的PWM和DAC输出

基于STM32的DAC输出一、简介&#xff1a;1.1 pwm简介&#xff1a;1.2 DAC简介&#xff1a;二、程序实现2.1 pwm2.1.1 实现原理&#xff1a;2.1.2 程序实现&#xff1a;2.1.3 小结2.2 DAC2.2.1 实现原理&#xff1a;2.2.2 程序实现&#xff1a;2.2.3 小结三、DAC扩展&#xff0c…

画属于自己的STM32C8T6PCB电路板

STM32pcb封装及画制一、学会找封装并添加封装1、创建自己的元件封装库2、给原理图上的元件添加封装二、画PCB板1、生成PCB板并布局pcb排布2、最后生成的样子三、生成bom表和Gerber文件1、生成bom表2、生成Gerber文件四、总结一、学会找封装并添加封装 学会自己找封装的重要性 …

基于IIC的温度传感器实验

基于IIC的温度传感器实验一、IIC简介二、硬件IIC与软件IIC的区别三、实现I2CAHT20温湿度传感器的温度采集1、AHT20简介2、配置函数库&#xff0c;编写函数1&#xff1a;下载驱动函数2&#xff1a;修改相应引脚号3&#xff1a;将相应文件复制进去4&#xff1a;添加库文件5&#…

STM32寄存器函数与库函数的区别以及串口通信实验

基于STM32完成串口通信一、概述&#xff1a;二、库函数与寄存器的区别1、库函数与寄存器的区别&#xff1a;2、代码对比&#xff1a;总结3、两种方式所需要的库的区别总结三、STM32的串口通信USART1、构建添加需要的库2、usart_init函数&#xff1a;3、主函数main&#xff1a;1…

OLED多级菜单记录

文章目录1、按键驱动1、硬件连接2、引脚功能配置3、程序设置2、oled驱动3、菜单移植1、菜单的思路2、菜单程序设计作为一个成熟的项目&#xff0c;就必然不会只有一个方面的特点了&#xff0c;这里一般我们可能需要系统来进行调度&#xff0c;然后给一些可视化的UI&#xff0c;…

【Proteus仿真】【STM32单片机】基于STM32的智能家居控制系统

文章目录 一、功能简介二、软件设计三、实验现象联系作者 一、功能简介 本项目使用Proteus8仿真STM32单片机控制器&#xff0c;使用TFTLCD液晶、DHT11温湿度、直流电机驱动、声光报警、PCF8591 AD模块、按键模块、光线、PM2.5烟雾传感器、人体红外 传感器、语音识别、继电器控…

stm32-USB使用记录(三)

文章目录1、USB鼠标1、实现鼠标输入2、单片机控制鼠标2、USB键盘在前面的文章中介绍了USB作为虚拟串口&#xff0c;大容量存储功能的使用&#xff0c;并介绍了通过USB对单片机内部FLASH&#xff0c;外挂的FLASH以及SD卡的访问&#xff0c;但是USB其实还有很多其他的功能&#x…

stm32【 NRF24L01多通道、动态数据、主从一体(1)】

nRF24L01 1对1通讯 测试平台&#xff1a;stm32f103c8t6 库版本&#xff1a;官方库3.5版本 对于2.4G无线射频通讯的知识在网上有很多&#xff0c;所以就不涉及原理的讲解&#xff0c;在这里感谢该博主的文章【NRF】&#xff0c;对无线通讯的理论知识和nRF模块数据手册深入进行…

stm32正点原子学习笔记(12)GPIO工作原理

带有FT标识的容忍5V 浮空模式下黄色阴影的是通的。 30~50K 模拟输入熟悉的就是AD转换&#xff0c;外部电压是模拟量&#xff0c;不是01&#xff0c;是电压的形式(0~3.3V)&#xff0c;而不是电平(高低)。 如果是写1&#xff0c;N-MOS管是关闭的&#xff0c;那么输出不会由输入的…

STM32寄存器点亮流水灯的三种方法

上一章里说到了BSRR&#xff08;位设置/清除寄存器&#xff09;、BRR&#xff08;位清除寄存器&#xff09;、ODR&#xff08;数据输出寄存器&#xff09;&#xff0c;这里再讲一下位带操作。 点亮LED顺序开启时钟设置GPIO口推挽输出输出高低电平控制LED亮灭 注意&#xff1a…

3.STM32按键实验

1.硬件连接 1.看右边的电路&#xff0c;KEY0,1,2都接入地&#xff0c;需要上拉电阻。KEY_UP接入电源需要下拉电阻。 &#xff08;这里介绍下上拉的意思&#xff0c;就是上拉就是把一个不确定的信号钳在高电平&#xff0c;下拉就是把一个不确定的信号钳在低电平&#xff0c;当我…

51单片机学习笔记(郭天祥版)(7)——串行通信

上节课的AD和DA不属于单片机自身的知识&#xff0c;属于单片机的外围器件&#xff0c;不光单片机&#xff0c;DSPU、FPGA、嵌入式系统&#xff0c;AD和DA都是外围设备。掌握的不是很好也没事&#xff0c;用的时候在搞明白原理&#xff0c;要使用的AD、DA说明搞清楚&#xff0c;…

STM32的HAL库的 I2C和UART使用函数,几个好用的

void I2C_Write(uint8_t* pBuffer, uint8_t DeviceAddr, uint8_t RegisterAddr,uint16_t NumByteToWrite){//HAL_I2C_Master_Transmit(&hi2c1, (uint16_t)DeviceAddr, pBuffer, NumByteToWrite, 1000);//1000ms 1s 100K字节s }void I2C_Read(uint8_t* pBuffer, uint8_t Dev…

基于STC89C52单片机的点阵屏(广告牌)设计(含Proteus仿真)

一、所需元器件 52单片机芯片 X 1&#xff1b;8*8 LED点阵 X 32&#xff1b;74HC595位移寄存器 X 16 &#xff1b;74HC154译码器 X 1&#xff1b;74HC04 X 4&#xff1b;PNP三极管 X 16&#xff1b;电阻电容若干。 二、STC89C52 STC89C52是STC公司生产的一种低功耗、高性能C…

STM32 USB CDC VPC

STM32 USB CDC VPC 关键字 STM32,STM32CubeMX,HAL库,USB,虚拟串口,串口不定长接收 1.简介 通过使用stm32cubemx&#xff0c;实现USB CDC虚拟串口&#xff0c;并与硬件串口进行数据传输&#xff0c;实现了硬件串口数据的不定长接收&#xff0c;以及USB虚拟串口超过64字节的数…

Arduino中常用的函数有哪些

pinMode(pin, mode)//定义引脚的模式&#xff08;INPUT 、OUTPUT&#xff09; digitalWrite(pin, value)//对定义的引脚写入何种电平&#xff08;HIGH 、 LOW&#xff09; analogWrite(pin, value)//定义“模拟引脚”为何种状态&#xff0c;该函数可以实现 PWM 输出功能&#…

01-怎么看原理图

01-怎么看原理图之GPIO 设置为不同类型的GPIO端口 输出引脚 上图左侧&#xff1a;电源作为输出源&#xff0c;提供高低电压 上图右侧&#xff1a;GPIO端口可以配置为输出引脚&#xff0c;提供高低电压 输入引脚 上图左侧&#xff1a;用万用表读取连接点的电压 上图右侧&am…

FreeRTOS的第一个任务是怎么跑起来的

一、一般在程序末尾会有一个vTaskStartSheduler();函数 int main(void) {BSP_INIT();BinarySemaphorexSemaphoreCreateBinary();if(BinarySemaphore!NULL){printf("semaphore create successfully .\r\n");}xTaskCreate(vLED1Task,"led1",50,NULL,1,NULL)…

8 系统定时器(Systick)(STM32HAL库)

目录 系统定时器&#xff08;Systick&#xff09; SysTick定时器特性介绍 SysTick定时器的功能 SysTick定时器寄存器介绍 Systick定时器的使用 系统定时器&#xff08;Systick&#xff09; SysTick定时器特性介绍 计数宽度&#xff1a; 24bit来存储数据&#xff0c;2^24…

STM32 | STM32中一些非常重要的C语言知识点汇总

1024G 嵌入式资源大放送&#xff01;包括但不限于C/C、单片机、Linux等。关注微信公众号【嵌入式大杂烩】&#xff0c;回复1024&#xff0c;即可免费获取&#xff01; 说在前面的话 一位初学单片机的小伙伴让我推荐C语言书籍&#xff0c;因为C语言基础比较差&#xff0c;想把C语…

hal编程 gt911 触摸芯片驱动 ( 枚举 结构体 熟用)( 安富莱 f429 4.3寸电容屏 )

使用板子类型以及屏幕类型 本文使用的是安富莱的板子stm32f429, 屏幕是TR433C1的4.3寸TFT显示屏, 480*272 RGB接口, 电容触摸 . I2C I2C简介、原理、时序请看这篇文章 使用cubemx工具的stm32用AT24C02实现简单密码(一点点面向对象的思想编程) gt911 gt911简介 gt911是深圳市…

【ULINK2仿真器stm32编程下载器】---- keil无法识别问题

之前由于JLINK仿真器有点问题&#xff0c;换了一个ULINK2仿真器&#xff1a;图片来源http://www.elecfans.com/d/1094778.html 使用的JTAG连接器是调试ARM 的标准&#xff08;2.54mm/0.1"&#xff09;20-针连接器&#xff0c;由于自己的板子接了一个外设&#xff0c;板子…

TMS320C6748_SPI_FLASH

目录 1.主函数流程 2.初始化 2.1 PSC初始化 2.2 UART初始化 2.3 管脚复用配置 2.4 DSP中断初始化 2.5 SPI中断初始化 2.6 SPI初始化 2.6.1.SPI复位 2.6.2.设置SPI模式 2.6.3.设置SPI的时钟 2.6.4.设置SPI引脚控制寄存器 2.6.5.设置SPIDEF寄存器 2.6.6.设置SPI数据…

STM32开发---ADC单通道电压采集

代码zip下载&#xff1a; https://download.csdn.net/download/zhouml_msn/86666457?spm1001.2014.3001.5501 STM32, ADC单通道电压数据采集&#xff0c;直接封装成一个函数; 无需外部初始化&#xff0c;调用函数即可&#xff0c;直接返回电压值&#xff01;&#xff01; …

LWip1.4.1移植到STM32平台的步骤

一、从官网下载lwip1.4.1压缩包 下载链接&#xff1a; http://savannah.nongnu.org/projects/lwip/ 二、解压缩 其中src为lwip的源文件 三、新建工程 keil工程如下&#xff1a; 将lwip中的代码依次添加到工程中去。此时源代码已经添加完毕&#xff0c;需要在includ…

基于寄存器与基于固件库的stm32 LED流水灯例子

基于寄存器与基于固件库的stm32 LED流水灯例子一、 基于寄存器的stm32 LED流水灯程序1.1寄存器简介1.2源码二、 基于固件库的stm32 LED流水灯程序2.1标准外设库简介2.2源码三、 差异四、 总结五、 参考资料一、 基于寄存器的stm32 LED流水灯程序 1.1寄存器简介 寄存器是中央处…

STM32下完成一个基于FreeRTOS的多任务程序

STM32下完成一个基于FreeRTOS的多任务程序一、 FreeRTOS简介二、 MDK下移植FreeRTOS2.1 资料获取2.2资料整理并导入STM32工程中2.4 主要代码三、 实现效果四、 总结五、 参考资料一、 FreeRTOS简介 FreeRTOS是一个迷你的实时操作系统内核。作为一个轻量级的操作系统&#xff0…

STM32下的USART串口通信程序

STM的USART窗口通讯程序一、STM32的USART简介二、 USART功能框图三、 USART接发通信实验3.1实验环境3.2 硬件设计3.2.1硬件原理图3.3 软件程序设计3.3 烧录程序四、 效果演示五、 总结六、 参考资料一、STM32的USART简介 通用同步异步收发器(Universal Synchronous Asynchrono…

STM32的堆和栈

STM32 的堆和栈1.前言2.栈2.1栈的分类3.堆4.堆栈溢出参考文章1.前言 本博客讨论的堆栈是内存分配的堆和栈&#xff0c;并不是数据结构中的堆栈。 C语言内存分配可以看下这篇文章 2.栈 栈&#xff08;stack&#xff09;作用是用于局部变量、函数形参、函数调用时的现场保护和…

在keil5中实现STM32f407流水灯点亮

一、keil5中编写启动文件 在使用keil5时&#xff0c;如果没有提前选择好自带的启动文件&#xff0c;是需要我们自己写启动文件代码的&#xff0c;这里不针对讲解启动文件&#xff0c;只是放出代码供大家参考&#xff01; Stack_size EQU 0x200AREA my_stack,NOINIT,READWRITE,…

stm32如何获取自己的ID号

一、函数。 void STM32_GetChipID(unsigned int *cID) {cID[0]*(vu32*)(0x1ffff7e8);cID[1]*(vu32*)(0x1ffff7ec);cID[2]*(vu32*)(0x1ffff7f0); } 二、可以用串口打印出来。 Printf( "\r\nChip ID: %d, %d, %d\r\n", cID[0], cID[1], cID[2]);

在STM32单片机上使用傅里叶解析信号

文章目录1、导入分析工具2、信号生成与查看3、使用STM32读取数据1、使用第一种库2、使用第二种库关于傅里叶变换的知识&#xff0c;可以看我之前的文章&#xff1a;傅里叶变换记录&#xff0c;里面记录了一些参数的含义还有使用python和matlab进行傅里叶分析&#xff0c;提取信…

MIDI乐器数字接口讲解 以及 C调音符与频率对照表

MIDI&#xff08;Musical Instrument Digital Interface&#xff09;乐器数字接口 是20世纪80年代初为解决电声乐器之间的通信问题而提出的。 MIDI是编曲界最广泛的音乐标准格式&#xff0c;可称为“计算机能理解的乐谱” 它用音符的数字控制信号来记录音乐。一首完整的MIDI音乐…

RT-Thrad|STM32F103+ESP8266 S01+RT-Thread联网之RT-Thread AT组件(3/4)

文章目录 前言AT组件简介硬件接线 RT-Thread源码和Env工具RT-Thread源码Env工具 AT Client 配置AT Client 运行示例AT Client 模式AT Client CLI 模式 AT Socket 功能AT Socket 配置AT Socket 的使用网络连接测试MQTT 组件示例测试 文章列表 RT-Thrad|STM32F103ESP8266 S01R…

STM32使用JLINK下载出错:Error: Flash Download failed - “Cortex-MO“或者No Cortex-M sw Device Found

STM32单片机使用JLINK下载程序出现如下错误&#xff0c;以及对应的解决办法 出错一&#xff1a;Link - Cortex-MError Could not stop Cortex-Mdevice! Please check the JTAG cable. 解决步骤&#xff1a; 1、点击菜单栏中的魔法棒 2、在Options窗口中&#xff0c;选择C/C&a…

uart总结

uart知识点汇总一、前言二、uart协议三、编程策略一、前言 很久没有写博客了&#xff0c;不过并没有偷懒~前段时间在准备实习面试之类的&#xff0c;并没有把总结的东西发到博客上&#xff0c;这段时间正好在实习&#xff0c;立个flag&#xff0c;一周总结3-4篇文章&#xff0…

基于STM32F103的智能门禁系统

0.前言 本人大二软工菜鸟一枚&#xff0c;大神不喜勿喷 1.功能演示 点这里功能演示 2.硬件选型 序号名称备注1STM32F103C8T6开发板用于主控2AS608指纹模块指纹解锁3RFID-RC522射频模块刷卡解锁40.96寸四针OLED显示模块&#xff08;IIC&#xff09;用于界面显示54x4矩阵按键…

RT-Thread:U盘读写

文章目录前言一、使用env进行配置二、测试生成的工程&#xff0c;并解决问题三、下载运行总结前言 学习如何在RT-Thread中让STM32F4读取U盘 一、使用env进行配置 1、使能USB HOST设备 2、使用USB HOST组件 3、使能文件系统 4、scons --targetmdk5生成工程 二、测试生成的…

STM32NET学习笔记 UDP部分

1.前言 嵌入式以太网开发是一个很有挑战性的工作。通过几个月的学习&#xff0c;我个人觉得大致有两条途径。第一条途径&#xff0c;先通过高级语言熟悉socket编程&#xff0c;例如C#或C&#xff0c;对bind&#xff0c;listen&#xff0c;connect&#xff0c;accept等函数熟悉之…

STM32CubeMX使用入门

一、什么是STM32CubeMX 概括来说&#xff0c;该软件是ST官方出的一款用于简化程序员工作量的图形化配置初始化界面&#xff0c;利用该软件可以省掉繁琐的例如GPIO、时钟、ADC等传统外设的初始化代码&#xff0c;提高了工作效率&#xff0c;也降低了初始化代码配错的风险。 ST…

系统滴答定时器(systick)应用

1.systick介绍 Systick就是一个定时器而已&#xff0c;只是它放在了NVIC中&#xff0c;主要的目的是为了给操作系统提供一个硬件上的中断&#xff08;号称滴答中断&#xff09;。滴答中断&#xff1f;这里来简单地解释一下。操作系统进行运转的时候&#xff0c;也会有“心跳”。…

STM32 gpio口模式介绍

先了解一个前置概念 原文链接: https://zhuanlan.zhihu.com/p/41942876 推挽输出&#xff08;Push-Pull Output&#xff09; 开漏输出&#xff08;Open Drain Output&#xff09; STM32的GPIO介绍 原文链接: https://mp.weixin.qq.com/s/WiwH-jAKC8tgO2Lu95-14Q GPIO是…

STM32——超声波OLED显示

要求&#xff1a; 用超声波模块&#xff0c;通过定时器(中断)实现超声波测距&#xff0c;并且自己搭建电路&#xff0c;可以通过OLED屏&#xff08;IIC协议&#xff09;或者LCD屏来显示数据。 方法&#xff1a; 通过设置定时器&#xff0c;开启中断&#xff0c;读取ECHO输出高电…

STM32CubeMX——串口数据收发基础(HAL 库)

一、STM32CubeMX 中关于 USART 的配置&#xff08;以 USART1 为例&#xff09;&#xff1a; 1、配置异步通信&#xff1a; 2、 此处硬件流控制不使能&#xff1a; 3、设置数据属性&#xff1a; 4、使能 USART1 中断&#xff1a; 此处有需要则使能 USART1 中断&#xff1a;…

工具 | 手头上无LCD却又急着开发UI?LCD模拟器了解一下~

LCD模拟器 在我们嵌入式开发中&#xff0c;有时候有些调试环境、流程比较复杂/繁杂。比如有些bug需要跑很长的一段流程才可以复现&#xff0c;这时候如果按照正常的流程来调试&#xff0c;单单是复现问题估计都需要话不少时间。 这时候应该要多思考需要怎么去模拟一个相同的环境…

C语言 | 获取一个整数各个字节的两种方法

题目&#xff1a;获取0x12345678各个字节。 方法一&#xff1a;结构&联合 /* 微信公众号&#xff1a;嵌入式大杂烩 */ #include <stdio.h>typedef unsigned int uint32_t; typedef unsigned char uint8_t;union bit32_data {uint32_t data;struct {uint8_t byte0;ui…

小松的STM32教程(6)——ADC

预备 学习目标 学会调用Get_Adc_Average函数会使能多个ADC通道 概述 ADC输入电压范围&#xff1a;2.4V-3.6V&#xff0c;一般使用0V和3.3V 数据对齐&#xff1a;16bit存放12bit的转换数据&#xff0c;可以左对齐也可以右对齐 规则通道和注入通道 项目规则通道注入通道通道…

小松的STM32教程(4)—— 计算器小项目

预备 概述 我们已经学习了基本的输入输出&#xff0c;外部中断以及OLED显示&#xff0c;让我们使用自己的所学实现一个小项目吧&#xff01;本期我们将实现一个计算器&#xff0c;该计算器可以实现三位整数的加&#xff0c;减&#xff0c;乘&#xff0c;整数。 显示排版和输…

小松的STM32教程(3)—— 外部中断和按键输入

预备 概述 中断是嵌入式中断的灵魂&#xff0c;使用中断可以避免不必要的软件等待&#xff0c;以及实现多任务的同步执行&#xff0c;中断分为看门口&#xff0c;定时器中断&#xff0c;串口中断&#xff0c;外部中断等&#xff0c;今天我们会说最简单的外部中断&#xff0c;…

小松的STM32教程(1)—— 输入

预备&#xff08;windows下&#xff09; 可以参考网上教程搭建一个MDK工程&#xff0c;并且使用flymcu进行hex文件的下载&#xff08;串口方式&#xff09;知道头文件的作用&#xff0c;会自己写头文件有一块stm32的开发板&#xff08;这里以mini板作为例子&#xff09;了解什…

小松的STM32教程(0)—— 写在前面

致谢 首先感谢大家可以抽出时间观看我写的教程或者配套的视频&#xff0c;感谢所有帮助过我的人&#xff0c;以及EDIL所有陪伴着我的&#xff0c;不离不弃的同学们&#xff0c;你们是我永远的骄傲。 我和单片机 在很多年前&#xff08;约是我小学的时候&#xff09;我开始接…

STM32CubeMX——定时器开发基础(HAL 库)

一、STM32 的常见的定时器资源&#xff1a; 1、系统嘀嗒定时器 SysTick 2、看门狗定时器 WatchDog 3、实时时钟 RTC 4、基本定时器&#xff1a;TIM6、TIM7 5、通用定时器&#xff1a;TIM2、TIM3、TIM4、TIM5 6、高级定时器&#xff1a;TIM1、TIM8 系统嘀嗒定时器SysTick&…

stm32f103c8t6最小工程搭建

启动文件 本开发板选择hd.s &#xff1a;大容量的&#xff1a;STM32F101xx&#xff0c;STM32F102xx&#xff0c;STM32F103xx 延时函数 工程结构 工程打包下载 见此 IIC_OLED 在此使用软件模拟写文件结构说明 oled.c 显示屏源文件oled.h 显示屏头文件font.h 字符库 下载见…

FATFS文件系统,实现基于STM32F103

文件系统 键值文件系统负责管理和存储文件信息的软件机构称为文件管理系统&#xff0c;简称文件系统。即在磁盘上组织文件的方法。常见的文件系统FAT/FATFS(小型的嵌入式) NTFS CDFS(光盘) exFAT FATFS 可以移植到51,PIC,AVR,SH,Z80,H8,ARM等单片机上支持FAT12,FAT16,FAT32支…

STM32CubeMX 安装固件支持包

一、方法 1&#xff08;推荐&#xff09;&#xff1a; 1、打开 STM32CubeMX&#xff0c;点击Help&#xff0c;点击Manage embedded software packages&#xff1a; 2、勾选需要下载的固件支持包&#xff08;选择芯片型号&#xff0c;版本&#xff09;&#xff0c;点击Install…

STM32——LED点灯(MiniSTM32F103RCT6,寄存器版本)

一、查看原理图&#xff1a; 由图可知&#xff0c;该开发板的LED0和LED1分别接PA8和PD2&#xff0c;且欲点亮LED0和LED1&#xff0c;需要让PA8和PD2输出低电平 二、查看参考手册&#xff1a; 1、外设时钟使能&#xff1a; 由以上信息可知&#xff1a;RCC寄存器的起始地址为0x4…

听说Latch可以高效修hold违例(Timing borrowing及其应用)

听说Latch可以高效修hold违例&#xff08;Timing borrowing及其应用&#xff09; 文章右侧广告为官方硬广告&#xff0c;与吾爱IC社区无关&#xff0c;用户勿点。点击进去后出现任何损失与社区无关。 小编的公众号前几天收到腾讯的邀请&#xff0c;已经试开通三天广告了。现在小…

想成为数字IC时钟树综合(clock tree synthesis)专家,建议好好看看这个

想成为数字IC时钟树综合&#xff08;clock tree synthesis&#xff09;专家&#xff0c;建议好好看看这个&#xff01; 文章右侧广告为官方硬广告&#xff0c;与吾爱IC社区无关&#xff0c;用户勿点。点击进去后出现任何损失与社区无关。 时钟的定义 时钟是同步工作系统的同步…

ICCInnovusTiming Report解析

ICC&InnovusTiming Report解析 文章右侧广告为官方硬广告&#xff0c;与吾爱IC社区无关&#xff0c;用户勿点。点击进去后出现任何损失与社区无关。 为了让各位粉丝更方便查阅小编分享过的的历史技术文章&#xff0c;吾爱 IC 社区公众号历史技术文章合集第二版已经正式发布…

STM32中断式按键输入[直接用寄存器]

本文是讲解直接用寄存器的外部中断实验代码&#xff0c;所以关于概念&#xff0c;不熟悉的可以点击下方连接学习中断概念。 https://blog.csdn.net/gelad_w/article/details/115800545 本次实验目标&#xff1a; 按下KEY2红灯LED0反转按下KEY1绿灯LED1反转按下KEY0蜂鸣器反转…

STM32(X)资料合集

概述 之后的开发都会是以固件库开发&#xff0c;所以阅读固件库示例代码和相关文档很重要 下载官方固件库 F10x系列固件库 下载文档

STM32(X)待机唤醒

概述 低功耗 程序实现 void Sys_Standby(void) { RCC_APB1PeriphClockCmd(RCC_APB1Periph_PWR, ENABLE); //使能PWR外设时钟PWR_WakeUpPinCmd(ENABLE); //使能唤醒管脚功能PWR_EnterSTANDBYMode(); //进入待命&#xff08;STANDBY&#xff09;模式 } //系统进入待机…

STM32(X)8080并口

引脚 CS 片选WR 写数据RD 读数据D【7&#xff1a;0】八位双向数据线RST 硬件复位DC 命令/数据选择 时序 设置DC为命令/数据选择拉低片选读&#xff1a;在RD上升沿&#xff0c;读数据线写&#xff1a;在WR上升沿&#xff0c;写数据线 SSD1306显存 显存大小刚好为128*64bit&…

STM32(X) GPIO输出

注意 每一个IO口都可以作为输出端口注意在配置IO口输出的时候需要使能对应的时钟可以使用位带操作来进行IO口的设置 硬件连接 led正极接IO口&#xff0c;负极接GND 软件设计 led源文件&#xff08;led初始化函数&#xff09; void LED_Init(void) {GPIO_InitTypeDef GPI…

stm32入门(二):流水灯(库函数版本)

准备工作 首先查看硬件连接&#xff0c;比如说mini板是A8和D2口确定输出方式为推挽输出&#xff08;可以直接输出高低电平&#xff0c;驱动led已经足够&#xff09; led函数流程 开启IO口对应时钟对IO口组进行输出模式&#xff0c;速度&#xff0c;输出引脚的配置初始对IO口…

stm32入门(零):MDK初识

快速组织代码 以GPIO_Init函数为例 定位到函数定义处assert_param(IS_GPIO_ALL_PERIPH(GPIOx));右键查看IS_GPIO_ALL_PERIPH&#xff0c;得到参数有效性 MDK 下载安装mdk安装支持包打开例程&#xff0c;打开工程文件&#xff0c;编译&#xff08;库函数&#xff09;安装fly…

STM32查询式按键输入[直接用寄存器]

当我们按下一个按键&#xff0c;LED灯做出反转&#xff0c;再按另一个&#xff0c;蜂鸣器随之响起&#xff0c;如何做到这些&#xff0c;这一章就带你领略——按键输入。 对于按键输入有两种方式&#xff1a; 1、查询式&#xff08;不断检测GPIO口电平变化&#xff09; 2、中断…

STM32直接用寄存器点亮GPIO口

直接调用定义好的文件来编程是很方便&#xff0c;但对于初学者很难理解&#xff0c;正所谓&#xff0c;学从难处学&#xff0c;用从易处用&#xff0c;今天看一下只需要启动文件和主函数点亮LED灯的方法。 目录 一、创建一个文件二、在keil中创建工程三、点亮LED灯的流程和寄存…

7 . 如何使用寄存器点亮一个LED灯

如何点亮LED 本篇讲解用寄存器在stm32 开发板上点亮一个LED灯 &#xff0c; 原理图如下&#xff1a; 相同网络标号表示它们是连接在一起的&#xff0c;因此LED1-LED8 发光二极管阴极是连接在STM32 的PC0-PC7 管脚上。如果要使D1 指示灯亮&#xff0c;只需要控制PC0 管脚输出低…

5 . 如何用c语言封装寄存器

前面我们介绍了存储器映射、寄存器和寄存器映射&#xff0c;这些都是为使用 C语言封装寄存器做铺垫。我们通过一个实例来对 C 语言封装寄存器进行介绍。 **实例 **&#xff1a;控制 GPIOC 端口的第 0 管脚输出一个低电平。首先我们需要知道GPIOC 端口外设是挂接在哪个总线上的&…

独立看门狗实狗实验—IWDG

概述&#xff1a; 为什么要看门狗&#xff1f; 在由单片机构成的微型计算机系统中&#xff0c;由于单片机的工作常常会受到来自外界电磁场的干扰&#xff0c;造成程序的跑飞&#xff0c;而陷入死循环&#xff0c;程序的正常运行被打断&#xff0c;由单片机控制的系统无法继续…

Systemlnit时钟系统初始化函数剖析

SystemInit()&#xff1a; 在工程文件的system_stm32f10x.c中有如下代码&#xff1a; 这里将为大家逐个注释&#xff0c;让大家了解。 void SystemInit (void) {RCC->CR | (uint32_t)0x00000001;//把HSI时钟打开//HSION&#xff1a;内部高速时钟使能 (Internal high-speed …

stm32跑马灯——寄存器和位操作

寄存器版&#xff1a; GPIO基础&#xff1a; 每组io口有7个寄存器。也就是7个寄存器&#xff0c;一共可以控制一组GPIO的16个IO口。 硬件连接&#xff1a; 例如&#xff1a; 由上图1可知&#xff1a;要使用到LED0和LED1&#xff0c;他们的IO口分别为PB5和PE5 由上图2可知&…

SPI接口下的OLED显示

SPI接口下的OLED显示一、SPI简介&#xff1a;1、spi&#xff1a;2、IIC与SPI的区别&#xff1a;二、程序实现1、下载文件2、查看文件以及文件解析3、显示自己想要的字符3.1 看看别人如何实现的3.2 定义自己的字符3.3 添加属于自己的字符3.4 最后实现效果&#xff1a;四、总结一…

平衡小车的一些常见问题总结

文章目录1、基本理论2、直立环速度环串级pid3、代码差异的解释4、转向环1、基本理论 PID控制 pid控制值对偏差进行比例&#xff0c;积分和微分的控制&#xff0c;分别是三个部分&#xff0c;对应为比例单元&#xff0c;积分单元和微分单元。 三个单元的作用可以简单的称为&…

电力线载波使用记录

文章目录1、用途说明1、常用通信总线对比2、电力线载波介绍2、树莓派网络配置3、连接测试4、补充一个连接问题1、用途说明 1、常用通信总线对比 电力线载波&#xff0c;顾名思义就是用电力线来进行数据传输的一种方式&#xff0c;我们平时做一些单片的通信相关的小实验&#…

使用stm32解析富斯i6接收机(IBUS)

文章目录1、通信协议解析说明2、驱动程序设计3、实测4、使用串口空闲中断DMA接收5、源码1、通信协议解析说明 常见的官方遥控器大概如下所示&#xff1a; 常用的搭配接收机&#xff1a; 这里需要注意的是&#xff1a;i6是可以刷十通道固件的&#xff0c;但是十通道的性能要发…

嵌入式理论复习(期末考试版本)

一、填空题 15*1=15 1. 要下载并调试 STM32 程序,可采用 方式( 根线)和 方式( 根线) 2. STM32G431RBT6 微控制器的内核是 3. STM32 微控制器提供的是 级流水线 4. CortexM4 处理器的寄存器 R12 代表

RT-Thread记录

文章目录1、RT-Thread2、创建工程3、操作io口4、使用外部软件包5、搭配cubemx开发6、创建线程之前写过一篇使用cubeide搭建rt-thread的记录&#xff0c;那个时候只是用这个系统&#xff0c;但是rt-thread其实比较强大的是有很多的组件包&#xff0c;就像arduino一样&#xff0c…

STM32模拟I2C获取TCS34725光学颜色传感器数据

STM32模拟I2C获取TCS34725光学颜色传感器数据 TCS34725是RGB三色颜色传感器&#xff0c;和TCS34727都属于TCS3472系列&#xff0c;在电气特性上略有差别&#xff0c;TCS34727相比TCS34725在I2C总线的访问电平上可以更低&#xff0c;而在I2C软件访问地址方面则一致。 TCS3472内…

嵌入式系统图解

嵌入式系统组成&#xff1a; 嵌入式系统硬件结构图&#xff1a; 嵌入式软件运行流程&#xff1a; 体系结构和接口&#xff1a; ARM-Cotex-M3内核架构图:

stm32最小操作系统

1、VDD、VSS、VSSA、VREF、VDDA、VSSA请看此文章 2、STM32最小系统硬件组成详解 3、STM32F103Cx系列最小系统板PCB设计

3 . 存储器映射 和 寄存器映射

存储器映射 程序存储器、数据存储器、寄存器和 I/O 端口排列在同一个顺序的 4 GB 地址空间内。也就是被总线连接的部分。我们在编程的时候&#xff0c;也是对这些功能部件进行操作。存储器本身不具有地址信息&#xff0c;它的地址是由芯片厂商或用户分配&#xff0c;给存储器分…

1 . ARM 与 STM32

STM32F103 ARM v7架构定义了三大分工明确的系列 A系列 R系列 M系列 &#xff08;1&#xff09;基本外设&#xff1a; GPIO 输入输出&#xff0c;外部中断&#xff0c;定时器&#xff0c;串口。理解了这四个外设&#xff0c;基本就入门了一款 MCU。 &#xff08;2&#xff09…

ARM纯汇编实验环境的搭建

本文讲解&#xff0c;如何通过MDK进行ARM纯汇编实验环境的搭建。 有时需要进行ARM纯汇编代码的学习&#xff0c;却苦于没有一个能实际操作的环境。本文使用MDK5.23完成ARM纯汇编实验平台的搭建。 1&#xff0c;首先新建一个ARM的工程。我以STM32F103VET6(后面简称芯片)为例子。…

《野火RT-Thread内核实现与应用开发实战》笔记5. 支持多优先级

1. 线程就绪优先级组 简介 线程就绪优先级组定义如下&#xff1a; rt_uint32_t rt_thread_ready_priority_group;从代码上看&#xff0c;线程就绪优先级组就是一个 32 位的整形数&#xff0c; 每一个位对应一个优先级。一个就绪优先级组最多只能表示 32 个优先级&#xff0c;如…

《野火RT-Thread内核实现与应用开发实战》笔记3. RTT内核对象容器的实现

1. 什么是对象&#xff1f; 对象类型枚举定义如下&#xff1a; enum rt_object_class_type {RT_Object_Class_Thread 0, /* 对象是线程 */RT_Object_Class_Semaphore, /* 对象是信号量 */RT_Object_Class_Mutex, /* 对象是互斥量 */RT_Object_Class_Event, …

《野火RT-Thread内核实现与应用开发实战》笔记1. RT-Thread仿真工程

1. 重要数据结构介绍 每个线程拥有一个独有的结构体&#xff1a; struct rt_thread {void *sp; /* 线程栈指针 */void *entry; /* 线程入口地址 */void *parameter; /* 线程形参 */void *stack_addr; /* 线程栈起始地址…

麦轮控制32f4

#include "motor.h" double CNT_10ms[4]{0};//每5ms脉冲数 double CNT_10ms_old[4]{0}; static void Dis_Gpio_Init(void) {GPIO_InitTypeDef GPIO_InitStructure;RCC_AHB1PeriphClockCmd(RCC_AHB1Periph_GPIOE, ENABLE);GPIO_InitStructure.GPIO_Pin GPIO_Pin_7|G…

stM32f4小车行走距离和角度设置检测 案例代码学习02

void Distance_Check(void) {s32 distance;distance(s32)(CNT_10ms[0]CNT_10ms[1]CNT_10ms[2]CNT_10ms[3]);car_distancedistance;end_line_distancedistance;set_go_step_distancedistance;unloading_distancedistance; } //设定车子行驶距离 void Set_Go_Step(float set_leg,…

STM32F4 HAL库开发 -- 工程模板解读

一、关键文件介绍 1、HAL库关键文件 stm32f4xx_hal_ppp.c/.h 基本外设的操作API&#xff0c;ppp代表任意外设。其中stm32f4xx_hal_cortex.c/.h比较特殊&#xff0c;它是一些Cortex内核通用函数声明和定义&#xff0c;例如中断优先级NVIC配置&#xff0c;系统软复位以及Systick配…

RT-Thread开发之路— 通过TFTLCD液晶屏幕显示数据(atk-Pandora)

本文在RT-Thread开发之路&#xff08;8&#xff09;— 通过TFTLCD液晶屏幕显示数据的原文上进行了局部的小修改&#xff0c;感谢原作者的文章&#xff0c;让自己学到了新的知识。 RT-Thread开发之路&#xff08;1&#xff09;— 通过TFTLCD液晶屏幕显示数据 一、硬件连接 Be…

stm32ADC DMA 多通道采集(包含定时器)(HAL库)

本文直接将参考文章附上STM32 ADC多通道转换DMA模式与非DMA模式两种方法&#xff08;HAL库&#xff09;并对ADC DMA配置中的一些参数进行介绍 参数配置文章 说明&#xff1a;文章在DMA多通道采样时&#xff0c;DMA配置的字长可以为半字&#xff0c;如下 hdma_adc1.Init.Periph…

STM32寄存器版的基础知识—内存映射

STM32寄存器版的基础知识—内存映射映射 STM32F429芯片系统结构三大总线高速总线高速外设和低速外设 内存映射1、STM32存储空间2、什么是存储器映射3、STM32F429的存储器映射 寄存器映射地址重映射关于ISP与IAP STM32F429芯片系统结构 STM32F429 采用的是 Cortex-M4 内核&#…

stm32学习之NOR flash和NAND flash区别,RAM 和ROM区别

ROM和RAM指的都是半导体存储器&#xff0c;ROM是Read Only Memory的缩写&#xff0c;RAM是Random Access Memory的缩写。ROM在系统停止供电的时候仍然可以保持数据&#xff0c;而RAM通常都是在掉电之后就丢失数据&#xff0c;典型的RAM就是计算机的内存。 RAM 有两大类&#…

协议速攻 IIC协议详解

介绍 IIC是一种 同步 半双工 串行 总线 同步 指的是同一根时钟线(SCL) 半双工 可以进行双向通信&#xff0c;但是收发不能同时进行&#xff0c;发的时候禁止接收&#xff0c;接的时候禁止发送 串行 数据是一位一位发送的 总线 两根线(SCL SDA)可以接多个IIC类型器件&#…

AT24C02 能读不能写的问题

最近用电阻触摸屏做项目&#xff0c;AT24C02存储触摸矫正数据。使用正点原子探索者F4的触摸屏和IIC例程时&#xff0c;提示“24C02 Check Failed!” 开始以为是芯片问题&#xff0c;用野火家的IIC历程可以测试通过&#xff0c;觉得非常奇怪&#xff0c;于是上逻辑分析仪。写入数…

STM32F407ZGT6翻车日记之——Error:No Cortex-M SW Device Found

最近在用STM32F407VG&#xff0c;调试IIC驱动EEPROM下载了正点原子的工程&#xff0c;图省事直接编译下载了原子哥的程序&#xff0c;结果下载一次之后单片机一命呜呼!在keil中连芯片ID也读不到了。 刚开始怀疑是J-Link问题&#xff0c;换了其他单片机可以读取到ID&#xff0c…

STM32F407用STM32 Cube Mx 生成工程文件报错../Core/Inc/stm32f4xx_hal_conf.h(282): error: #5: cannot open sourc

STM32F407用STM32 Cube Mx 生成工程文件报错&#xff0c;提示找不到 stm32f4xx_hal_exti.h 文件。 CubeMx版本 6.2.0 &#xff0c; HAL库版本 STM32Cube_FW_F4_V1.19.0、STM32Cube_FW_F4_V1.21.0、STM32Cube_FW_F4_V1.26.1 报错原因&#xff1a;STM32 Cube Mx是新版的&…

【专题3: RTOS】 之 【9.从0到1写操作系统 - 任务延时和空闲任务】

嵌入式工程师成长之路 系列文章 总目录系列文章总目录希望本是无所谓有&#xff0c;无所谓无的&#xff0c;这正如脚下的路&#xff0c;其实地上本没有路&#xff0c;走的人多了&#xff0c;也便成了路原创不易&#xff0c;文章会持续更新&#xff0c;欢迎微信扫码关注公众号承…

stm32同时读取IO口以及同时输出IO口。

用寄存器&#xff0c;配置和常规配置。 void IO8_Init(void)//初始化 用A0-A7 {GPIO_InitTypeDef GPIO_InitStructure;RCC_AHB1PeriphClockCmd(RCC_AHB1Periph_GPIOA, ENABLE);//GPIO_InitStructure.GPIO_Pin GPIO_Pin_0 | GPIO_Pin_1|GPIO_Pin_2 | GPIO_Pin_3| GPIO_Pin…

stc8a8k_rgb___LED 888测试代码,还没测试

注意,可以用其他单片机,需要有内置的SPI,对准引脚,修改就好。 #include <stc8a8k.h> #include <intrins.h> #include <stdlib.h> #include <stdio.h>//******************************说明:使用22.1184Mhz; //****************

dcmotor2双PWM的定时器分配,代码

由于tb6612缺货&#xff0c;价太猛。后面需要改造一下电路。用双PWM进行控制一个电机。 PWM口分配 P1–定时器1的两个通道CH1-E9 --CH2-E11 P2–定时器1的两个通道CH3-E13 -CH4-E14 P3–定时器9的两个通道CH1 E5 --CH2-E6 P4 定时器12的两个通道CH1&#xff08;PB14&#xff0…

stm32时钟最大只能为72M么 还可不可以再倍频,怎么倍频?

1.一般你看到的STM32程序都是用HSE PLL作为时钟的,因此在程序的一开始调用函数进行了设置,比如RCC_Dein();.... STM32默认就是用内部HSI作为时钟,所以,如果你上电,不对RCC进行任何设置,就是使用内部8M振... 2.可将外部8M的晶振换成12M的晶振&#xff0c;还是9倍频&#xff0c;…

STM32简单程序无法运行

1.初始化程序错误 2.时钟设置与端口设置不对应&#xff0c;常常忘记更改 3.根据原理图确定的默认状态不对&#xff0c;如按键需要确定默认上拉下拉 ----------------------------------------------------------------------------------------------------------------------…

FreeRTOS_系统内核控制函数

目录 1. 系统内核控制函数预览 2. 系统内核函数详解 2.1 函数 taskYIELD() 2.2 函数 taskENTER_CRITICAL() 2.3 函数 taskEXIT_CRITICAL() 2.4 函数 taskENTER_CRITICAL_FROM_ISR() 2.5 函数 taskEXIT_CRITICAL_FROM_ISR() 2.6 函数 taskDISABLE_INTERRUPTS() 2.7 函数…

RCC_AHBPeriph_DMA DMA_Channel1 在那里定义的?

下面的在&#xff1a;stm32f10x_rcc.h中 #define RCC_AHBPeriph_DMA1 ((uint32_t)0x00000001) #define RCC_AHBPeriph_DMA2 ((uint32_t)0x00000002) 下面的在&#xff1a;stm32f10x.h中 #define DMA1_BASE (AHBPERIPH_BASE 0x0000) …

大佬给总结的单片机看门狗详解很有用

一、独立看门狗 STM32 的独立看门狗由内部专门的 40Khz 低速时钟驱动&#xff0c;即使主时钟发生故障&#xff0c;它也仍然有效。 看门狗的原理&#xff1a;单片机系统在外界的干扰下会出现程序跑飞的现象导致出现死循环&#xff0c;看门狗电路就是为了避免这种情况的发生。看…

STM32中按键中断分析

在按键学习中&#xff0c;我们有用到查询的方法来判断按键事件是否发生&#xff0c;这种查询按键事件适用于程序工作量较少的情况下&#xff0c;一旦程序中工作量较大较多&#xff0c;则势必影响程序运行的效率&#xff0c;为了简化程序中控制的功能模块的执行时间&#xff0c;…

小松的STM32教程(11)—— 输入捕获

预备 学习目标 概述 输入捕获框图 相关配置 配置说明滤波器当产生沿触发的时候&#xff0c;不会立即采集&#xff0c;而会以某频率连续采样若干次&#xff0c;确定仍然是有效电平的时候才会进行采集输入捕获极性上升沿捕获还是下降沿捕获捕获/比较选择一般不进行交叉映射捕获…

SDCC教程(树莓派 Debian11 bullseye 使用官方下载源)

说明 SDCC&#xff1a;Small Device C Compiler SDCDB&#xff1a;Small Device C Debugger apt安装SDCC 下载sudo apt-get install sdcc使用 sdcc --version查看sdcc版本号&#xff1a; SDCC : mcs51/z80/z180/r2k/r3ka/gbz80/tlcs90/ez80_z80/ds390/TININative/ds400/hc0…

STM32(X)精简库解读Drivers

概述 Drivers下面是外设的驱动文件&#xff0c;具体可以直接参考固件库手册的具体解读

STM32(X)寄存器映射

GPIO寄存器 CRHCRLIDR ODR BSRR BRR LCKR AFIO寄存器 EVCR

STM32(X)官方固件库基础解读

精简库结构 说明&#xff1a;原官方库包含了很多说明文件&#xff0c;版权文件&#xff0c;网页文件&#xff0c;所以我进行了一些删减&#xff0c;只留下一些必要的文件&#xff0c;精简版库点此下载&#xff0c;官方原库点此下载&#xff0c;发行笔记分析见此 Libraries CM…

通用定时器基本原理

三种STM32定时器区别&#xff1a; 通用定时器功能特点描述 STM3 的通用 TIMx (TIM2、TIM3、TIM4 和 TIM5)定时器功能特点包括&#xff1a; 位于低速的APB1总线上(APB1) 16 位向上、向下、向上/向下(中心对齐)计数模式&#xff0c;自动装载计数器&#xff08;TIMx_CNT&#xf…

STM32的FSMC与IO口的区别

最早CPU要访问外部RAM需要三条总线&#xff1a;地址总线、数据总线&#xff08;以16条地址线8位存储器为例&#xff09;和控制总线 地址总线&#xff1a;A0到A15共计16根地址线 数据总线&#xff1a;D0到D7共计8根数据线 控制总线&#xff1a;至少包括读写控制等控制线 CPU要访…

STM32(X)精简库解读CMSIS

概述 CMSIS全称为Common Microcontroller Software Interface Standard&#xff08;通用微控制器软件接口标准&#xff09;&#xff0c;点此参考官方解读 精简库CMSIS文件夹结构 core&#xff1a;CMSIS Cortex-M3核心外设访问层源文件 startup&#xff1a;启动文件 stm32f1…

STM32单片机的八种IO口模式,你应该了解下

STM32单片机的八种IO口模式&#xff0c;你应该了解下 八种IO口模式 STM32有八种IO口模式&#xff0c;分别是&#xff1a;模拟输入、浮空输入、上拉输入、下拉输入、开漏输出、推挽输出、复用开漏输出和复用推挽输出。 1、模拟输入 GPIO_Mode_AIN模拟输入&#xff0c;即关闭施…

关于STM32的几种输入模式以及外部中断的配置

要求&#xff1a;将连接按键的IO口配置为上拉输入&#xff0c;按键一端接IO口&#xff0c;一端接地&#xff0c;即当按键按下后&#xff0c;该IO口会产生一个下降沿&#xff0c;触发下降沿中断。 问题&#xff1a;将相应的IO口配置好后&#xff0c;测了引脚的的电压&#xff0c…

基于STM32单片机1602显示电子时钟

1、本系统采用1602显示时分秒&#xff0c;4个按键可以调整时间&#xff0c;一个按键是选择按键&#xff0c;一个按键是加&#xff0c;一个按键是减&#xff0c;一个按键是退出按键。 2、当选择按键按下的时候&#xff0c;该选择位闪烁。 主函数代码&#xff1a; #include “del…

关于st-link usb communication error的解决方法

文章目录一、问题二、解决方法1.准备ST-Link固件升级软件2.解压并打开其 windows文件夹下的ST-LinkUpgrade.exe3.点击"device connect"进行固件包更新4. 点击"yes"进行更新5. 找到Keil_v5\ARM\STLink\USBDriver\stlink_winusb_install.bat6. 右键&#xff…

STM32 SPI发送与接收用一个函数实现的问题

今天使用STM32F407读取flash中的数据的实验中&#xff0c;发现一个问题读取到的问题一直不对&#xff0c;找了半天问题&#xff0c;后面找到原子的例程看了一下&#xff0c;发现是SPI数据的读取与发送函数写的有问题&#xff0c;我原本的发送与接收函数是单独的两个函数&#x…

生成随机数函数:rand和srand

头文件为&#xff1a;stdlib.h rand() 会随机生成一个位于 0 ~ RAND_MAX 之间的整数。 RAND_MAX 是 <stdlib.h> 头文件中的一个宏&#xff0c;它用来指明 rand() 所能返回的随机数的最大值。C语言标准并没有规定 RAND_MAX 的具体数值&#xff0c;只是规定它的值至少为 32…

Error:Flash Download Failed-Cortex-M3

MDK连接仿真器下载程序时出现&#xff1a;Error:Flash Download Failed-"Cortex-M3" 解决&#xff1a; 1.可能是没有配置好&#xff0c;按照&#xff1a;http://blog.csdn.net/zhangjikuan/article/details/46624827 重新配置 2.配置没有问题有可能是之前…

通用定时器输入捕获概述

STM32 输入捕获工作过程&#xff08;通道1为例&#xff09; 一句话总结工作过程&#xff1a;通过检测TIMx_CHx上的边沿信号&#xff0c;在边沿信号发生跳变&#xff08;比如上升沿/下降沿&#xff09;的时候&#xff0c;将当前定时器的值(TIMx_CNT)存放到对应的捕获/比较寄存器…

串口通信基本原理

常见的串行通信接口&#xff1a; UART异步通信方式特点&#xff1a; 全双工异步通信。 分数波特率发生器系统&#xff0c;提供精确的波特率。 -发送和接受共用的可编程波特率&#xff0c;最高可达4.5Mbits/s 可编程的数据字长度&#xff08;8位或者9位&#xff09;&#xff1b…

STM32F407控制180度舵机

其中主要分为两部分&#xff0c;时钟的初始化和主函数的控制部分。 时钟的初始化&#xff1a; 选用TIM14时钟&#xff0c;F9引脚作为信号控制引脚 void TIM14_PWM_Init(u32 arr,u32 psc) { GPIO_InitTypeDef GPIO_InitStructure;TIM_T…

STM32CubeIDE 问题汇总(持续更新)

目录一&#xff0c;汉化二&#xff0c;怎么折叠代码三&#xff0c;注释乱码怎么办一&#xff0c;汉化 帮助->安装新软件点击add&#xff0c;输入网址和名称&#xff0c;名称可以随便 https://download.eclipse.org/technology/babel/update-site/R0.17.1/2019-12/ 找到你要…

vi 编辑器的使用 笔记

我们都有光明的未来。 在这个特殊的日子里&#xff0c;我写在CSDN博客上的内容&#xff0c;表示了我需要作出改变。 vi 模式 命令模式&#xff0c;从键盘上输入的任何字符都被作为编辑命令来解释&#xff0c;vi 下很多操作如配置编辑器、文本查找和替换、选择文本等都是在命令…

STM32LCD液晶屏显示二值图片

基本原理 这几天在学STM32&#xff0c;基于正点原子的开发板和视频教程&#xff0c;TFTLCD能显示字符和汉字&#xff0c;那么能不能显示图片&#xff0c;看看下文你就知道答案了。 其实显示字符和汉字是通过点阵的方式来实现的&#xff0c;显示图片也是一个道理&#xff0c;要…

【C51】基于51单片机的自动输液监控系统设计与实现

摘 要 随着科技进步&#xff0c;我国的医疗设施不断完善&#xff0c;逐渐达到现代化水平。在当今的医疗环境下&#xff0c;病患更关心自己是否能够及时进行有效的治疗&#xff0c;医护人员对患者进行输液过程的全程监控。医生治疗的效果明显提高&#xff0c;不仅节约大量的时间…

linux下的stm32下载

linux下stm32编译 使用stm32flash ./stm32flash -w {要烧写的文件} -v -g 0x0 /dev/{对应的串口}

STM32(X)固件库发行笔记解读

2007.5.21 创建 2007.10.8 library更新表格 项目更新内容library文件夹. 添加了RIDE 工具链的模板项目。 2. 在所有 stm32f10x_ppp.c 和 stm32f10x_conf.h 文件中&#xff1a;将“assert”宏名称更改为“assert_param”。注意&#xff1a;如果您在应用程序中使用 FW Librar…

stm32入门(三): 中断

概述 STM32F10X系列一共有60个可屏蔽中断&#xff0c;使用IP[59]-IP[0]的高四位来设置抢占和响应设置中断优先级&#xff1a;分组→抢&#xff0c;响→挂起解挂本芯片&#xff08;RCT6&#xff09;包含3个USART和2个UART32的每个GPIO都可以配置定时器中断ISER[8]是中断使能位&…

2021年高压电工最新解析及高压电工模拟考试

题库来源&#xff1a;安全生产模拟考试一点通公众号小程序 安全生产模拟考试一点通&#xff1a;高压电工最新解析是安全生产模拟考试一点通总题库中生成的一套高压电工模拟考试&#xff0c;安全生产模拟考试一点通上高压电工作业手机同步练习。2021年高压电工最新解析及高压电…

stm32+lcd显示汉字之DIY图形及导入字库

一、建立自己的点阵集 上一篇博客&#xff0c;给大家介绍了在LCD上显示汉字/特殊图形需要知道对应的显示编码&#xff08;GBK码/ASCII码&#xff09;&#xff0c;显示编码对应着每个图形的点阵集&#xff08;数组&#xff09;&#xff0c;我们可以通过这个点阵集&#xff0c;将…

SD卡的初始化及基本应用

SD卡的初始化 上一篇文章我分享了一些关于SDIO接口的理解&#xff0c;通过SDIO接口&#xff0c;我们现在已经可以通过stm32与SD卡通信了。在通信之前&#xff0c;我们需要对SD卡进行初始化操作&#xff0c;对SD卡初始化需要通过我们前面说的CMD命令&#xff0c;SD卡接收到相应…

SDIO接口的理解

运用SD卡第一步&#xff0c;认识SDIO接口 做毕业设计需要用到大量的音频文件&#xff0c;一般的存储器满足不了存储要求&#xff0c;故选择SD卡作为存储器件。在这里记录一下自己的学习经历&#xff0c;学习一个新的IC&#xff0c;无非是要么根据时序图写出Read和Write函数&am…

__packed 关键字

__packed 关键字的作用 我们在c语言中创建一个结构体时&#xff0c;编译器都会自动进行字节对齐操作&#xff0c;这样做是为了解决CPU读取数据的效率问题。比如我们随便申请一个结构体&#xff1a; struct textStr { char i; int j; float m; double n; } 编译器在编译这个结…

stm32内存管理

stm32内存管理 再讲stm32内存管理之前&#xff0c;我想先向大家介绍几个概念&#xff1a; 自然对其 对齐跟数据在内存中的位置有关。如果一个变量的内存地址正好位于它长度的整数倍&#xff0c;他就被称做自然对齐。比如在32位cpu下&#xff0c;假设一个整型变量的地址为0x0…

FreeRTOS—任务基础知识

文章目录 一、FreeRTOS任务特性二、FreeRTOS任务状态三、FreeRTOS任务优先级四、FreeRTOS任务实现五、任务控制块六、任务堆栈 一、FreeRTOS任务特性 简单没有使用限制&#xff08;任务数量没有显示&#xff0c;一个优先级下可以有多个任务&#xff09;支持抢占&#xff08;高…

【灾报警主机联网问题】

火灾报警主机联网问题一直是各消防项目中的难点&#xff0c;特别是管廊等长距离通讯中&#xff0c;如何保证通讯信号长期稳定可靠的运行是需要工程重点解决的问题&#xff0c;而LCAN-FOBR系列环网冗余式CAN光纤转换器提供二路光通道和一路CAN通道&#xff0c;实现CAN与光纤之间…

80、基于STM32单片机智能热水器温度温控水温水位检测无线蓝牙APP监控报警系统(程序+原理图+PCB图+参考论文+开题报告+设计资料+元器件清单等)

摘 要 随着社会的发展&#xff0c;人类科技的进步&#xff0c;各行各业都在使自己的产品智能化、数字化&#xff0c;因老式的热水器使用煤气或天然气对水进行燃烧加热&#xff0c;用手动的方式调节温度&#xff0c;不仅不能够精确的确定使用者需要的水温&#xff0c;而且还存在…

STM32 Proteus UCOSII系统简易时钟计时系统-0054

STM32 Proteus UCOSII系统简易时钟计时系统-0054 Proteus仿真小实验&#xff1a; STM32 Proteus UCOSII系统简易时钟计时系统-0054 功能&#xff1a; 硬件组成&#xff1a;STM32F103R6单片机 LCD1602显示器 1.单片机程序使用UCOSII操作系统&#xff0c;显示任务、时间计算任…

FreeRTOS笔记—第三章 任务管理

3.1 任务基本概念及状态 3.1.1 什么是任务 FreeRTOS任务同我日常生活所说的任务概念是一样&#xff0c;指定担任的工作&#xff1b;指定担负的责任。只是FreeRTOS任务是指函数&#xff08;函数也是为了完成某种功能&#xff09;。 以日常生活为例&#xff0c;比如你做了两件事…

ESP32_PWM_RGBLED

ESP32_PWM_RGBLED 一、RGB灯二、示例一、RGB灯 RGB灯有4个引脚,R、G、B三个引脚连接到LED的一端,还有一个引脚是共用的正极或者共用的阴极。 共阴显示红色:R-255,G-0,B-0 共阳显示红色:R-0,G-255,B-255 二、示例 #include<Arduino.h> uint8_t ledR = 27; uint8_t …

C语言_关于在头文件.h中定义变量导致的重复定义问题

C语言_头文件定义变量的重复定义错误 一、`extern`的用法二、KEIL 错误提示三、编译和链接一、extern的用法 在头文件中,不适宜定义变量,在个别编译器中,仅支持在.c文件中定义变量,在.h中使用extern中声明为全局变量。 这里建议定义全局变量时,在.c文件中定义,然后在.h文…

按键检测 LED灯

【TOC】&#xff08;按键检测&#xff09; one bsp_ked.h #ifndef _BSP_KEY_H #define _BSP_KEY_H#include"stm32f10x.h"//更换IO口&#xff0c;只需要更改宏定义即可 #define KEY1_G_GPIO_PIN GPIO_Pin_0 //PA0 #define KEY1_G_GPIO_PORT GPIOA …

stm32进行固件库点亮led灯

固件库点亮LED灯&#xff08;一&#xff09;main&#xff08;&#xff09;&#xff08;二&#xff09;bsp_led.c()&#xff08;三&#xff09;bsp_led.h32固件库编程点亮led灯&#xff1a;在已经建好工程的基础上&#xff0c;进行建立文件&#xff0c;包括bsp文件&#xff0c;是…

swd只能下载一次第二次出现错误

现象描述使用swd下载一次后再次下载就校验出错 必须使用串口再次清空后又能下载一次&#xff0c;之后再次出错。 解决方法&#xff1a; 发现是从别的工程赋值过来的工程模板。在 修改上图中绿色部分&#xff0c;修改为下图配置 原因分析&#xff1a; 此工程是从zet6工程目标…

数码管的段选和位选是什么

初选单片机的时候&#xff0c;数码管是一个绕不过去的弯&#xff0c;对于初学者来说&#xff0c;数码管的段选和位选总是一脸懵。那么数码管的段选和位选是什么呢&#xff1f; 位选&#xff0c;选位置。我要选择哪一位数码管亮。 段选&#xff0c;选一段。我要选择哪一段LED亮.…

nbiot mqtt调试-onenet注册BC20

1.AT指令获取BC20的IMSI和IMEI ATCIMI 查询IMSI 460081852805488 ATCGSN1 查询IMEI 862177045299172 ATCSQ 查询NB信号强度 24,0&#xff0c;信号质量(0~31)&#xff0c;确保信号质量大于 3&#xff0c;否则数据通信可能不稳定。 ATCGATT? 测试是否附着网…

STM32CubeMX实现串口打印hello world

环境 硬件&#xff1a;stm32f103c8t6 核心板&#xff08;usb tx接核心板A10&#xff0c;Rx接A09&#xff09; 软件&#xff1a;STM32CubeMX 软件&#xff1a;keil5 mdk cubeMX配置&#xff1a; 晶振配置 时钟配置&#xff08;根据自己板子上的晶振频率酌情设置&#xff09;注&…

stc8a--pca--pwm--10wei--22.1184mhz--2fenpin

/* 说明&#xff1a; 本代码&#xff0c;用的是P70&#xff0c;P71&#xff0c;P72,P73四个产生PCA的PWM。 选择10位PWM模式 晶振频率22.1184Mhz&#xff0c;选择2分频&#xff0c;则PWM的频率都是10.85Khz左右 如果想用其他的端口&#xff0c;以及分频系数&#xff0c;将对应…

STM32F103VE IWDG独立看门狗

采用STM32标准库3.5版本实现独立看门狗功能 首先加入文件stm32f10x_idwg.c,在main.c中包含头文件stm32f10x_iwdg.h定义看门狗时间宏#define CONVERT_TICK(tick) (tick*625/4) #define IWDG_OVER_TIME CONVERT_TICK(20) //3125*6.420s 看门狗时间定义 3. 配置…

STM32驱动1602源程序

#include"1602.h" #include"sys.h" #include “delay.h” /LCD1602初始化*****/ void LCD1602_Init(void) { GPIO_InitTypeDef GPIO_InitStructure; RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOE|RCC_APB2Periph_GPIOA, ENABLE); //使能PA,PE端口时钟 GPI…

makefile kconfig 脚本使用技巧

1、当一个项目使用某个外设功能&#xff0c;而其他项目不使用时&#xff0c;可以用以下代码定义 config CONFIG_LCD_BACKLIGHTtristatedefault y if LCD_BACKLIGHTy helpSay yes here to support the LCD BACKLIGHT GPIO device在使用这个外设功能的项目的deconfig中写&#x…

MBD开发 STM32 Timer

开两个定时器 一快一慢 两个中断都要使能 没有自动更新&#xff0c;切换下timerx就好了&#xff0c;但是触发UP要手动勾选

Debug的使用 (一)

我们以后所有的实验中&#xff0c;都将用到Debug程序&#xff0c;首先学习一下它的主要用法。 什么是Debug? Debug是DOS、windows都提供的实模式(8086方式)程序的调试工具。使用它&#xff0c;可以查看CPU各种寄存器中的内容、内存的情况和在机器码级跟踪程序的运行。 我们用…

STM32智能送药小车(三):0.96寸7针OLED的配置与编程

目录 一.OLED的简单说明 二.Cubemx的配置 三.根据时序图写驱动程序及自定义显示程序 驱动程序&#xff1a; 用户自定义&#xff1a; 一.OLED的简单说明 0.96寸七针OLED&#xff1a; CS&#xff1a;OLED片选信号&#xff1b;RST&#xff08;RES&#xff09;&#xff1a;硬复…

STM32智能送药小车(二):搭建stm32cpp环境

目录 1.用keil5打开cubemx生成的代码&#xff0c;更改堆栈的大小 2.分别更改工程&#xff0c;文件中的设置 在vscode中更改main.c和 stm32f4xx_it.c为.cpp&#xff0c;再重新导入文件&#xff0c;并在keil中更改设置 1.用keil5打开cubemx生成的代码&#xff0c;更改堆栈的大小…

小松的STM32教程(14)——内部flash模拟eeprom

为什么要用Flash来模拟EEPORM Flash更适合作为程序存储器&#xff0c;EEPROM更适合作为数据存储器&#xff0c;存储大量数据的时候就需要使用EEPROM&#xff0c;廉价的MCU往往只有FLASH而没有EEPROM&#xff0c;所以这个时候就需要外加EEPROM或者选择一些别的办法&#xff0c;…

使用示波器分析串口通信波形

目录酱一、keil仿真查看串口波形二、使用SaleaeLogic16进行协议分析一、keil仿真查看串口波形 首先打开任意一个项目&#xff0c;设置为仿真调试 debug&#xff0c;查看逻辑分析 添加要观察的端口 观察波形 可以看到波形规律变化&#xff0c;与代码相符 二、使用SaleaeLogic1…

树莓派C++开发机器人智能小车(13)ncurses控制LED和蜂鸣器

使用ncurses控制LED和蜂鸣器 编译测试了第一个ncurses程序后,现在写程序控制诸如LED和蜂鸣器这样的硬件,使用键盘作为输入控制。我们需要两个LED和一个蜂鸣器,连线如图: 第一个LED的正极引脚连接到wiringPi引脚15,负极连接到物理引脚6(地线) 第二个LED的正极引脚连接到…

小松的STM32教程(13)—— 驱动外部内存24C02

预备 学习目标 概述 24cxx.c #include "24cxx.h" #include "delay.h" #include "delay.h"void IIC_Init(void) {GPIO_InitTypeDef GPIO_InitStructure;//RCC->APB2ENR|1<<4;//&#xfffd;&#xfffd;ʹ&#xfffd;&#xfffd;…

bcd码转换成ascii码_将8位ASCII转换为BCD编号| 8086微处理器

bcd码转换成ascii码Problem statement: 问题陈述&#xff1a; To convert ASCII to BCD 8-bit number using 8086 Microprocessor. 使用8086微处理器将ASCII转换为BCD 8位数字。 Algorithm: 算法&#xff1a; Load the value from memory into register AL 将内存中的值加载…

MCU内部参考电压几种妙用你都知道嘛

近期接触到STM32L0系列MCU&#xff0c;为了发挥超低功耗特性使用的也是干电池供电&#xff0c;想要获取当前干电池组的电量。 起初的方案设计是在MCU的IO口找一路ADC&#xff0c;使用分压方式直接让MCU采集电量&#xff0c;之后在查找资料如何实现时发现了参考电压的问题&#…

STM32新建工程模板-基于寄存器——串口看不到接收的数字

正点原子 ALIENTEK战舰STM32F1 V3开发板视频教程 第11讲 新建工程模板-基于寄存器 #include "sys.h" #include "usart.h" #include "delay.h" //ALIENTEK战舰STM32开发板 实验0 //新建工程 实验 //技术支持&#xff1a;www.openedv.com /…

使用 fastNP(并行刷新多个可寻址 LED 链的 STM32 库)在 STM32F103 微控制器上实现 NeoPixels LED 效果

首先,让我向各位介绍一下fastNP,这是一款强大的STM32库,它可以用于并行刷新多个可寻址 LED 链,最多支持16个LED链并行刷新,无需超频CPU。该库适用于WS2812B LED以及其克隆版本,例如SK6812等,我们通常称之为 NeoPixels。我已经在STM32F103微控制器(在所谓的 “Blue Pill…

利用STM32CubeMX软件生成USB_DEVICE_SD卡虚拟U盘

一、测试平台&#xff1a; MCU:STM32F429NIH6 工具&#xff1a;STM32CubeMX软件 编译软件:MDK 二、配置步骤 (1).打开STM32CubeMX软件&#xff0c;创建新的工程文件&#xff0c;先生成一个的串口的收发例程&#xff0c;需要实现将串口收到的数据发送的出来&#xff0c;生成串口…

串口学习笔记

更多内容可关注微信公众号 ###串行通信接口 来自cpu的数据一般是普通的并行数据&#xff0c;通过串行通信接口芯片&#xff0c;可以将cpu的并行数据&#xff0c;转换为串行数据。串行与并行是物理层的接口标准&#xff0c;物理层使用串行通信接口的情况下&#xff0c;在数据链…

STM32串口配置(1,2,3,4)

调试程序时&#xff0c;发现代码里面用的是串口1&#xff0c;但是硬件上用的串口2。所以需要改动下&#xff0c;总结了关于STM32四个串口配置的代码&#xff08;初始化中断配置中断接收函数&#xff09;。 1.串口1 /* Copyright: Version: Author: Date: 2017/11/3 Descr…

STM32 关于GPIO的问题集锦

原文地址&#xff1a;STM32关于GPIO的问题集锦 STM32 关于GPIO的问题集锦 1、关于GPIO 问&#xff1a;STM32的IO口初始化为输入浮空&#xff0c;那管脚是高电平还是低电平呢&#xff1f; 答&#xff1a;既不是高电平也不是低电平&#xff0c;悬空的意思是没有使用的状态。…

STM32USART[直接用寄存器]

我们之前学到的都只是对单片机简单的操作&#xff0c;单片机和上位机没有任何通信&#xff0c;等学完这一篇后&#xff0c;你就可以通过串口来和上位机进行联系&#xff0c;实现数据的交流。 文章目录一、串行通信1、异步2、同步3、通信方式二、USART三、USART工作原理四、USAR…

4种实时操作系统实时性的分析对比

4种实时操作系统实时性的分析对比_biao3070698140_51CTO博客 【实时性能重要指标】 衡量实时操作系统实时性能的重要指标有&#xff1a; ● 任务切换时间 当多任务内核决定运行另外的任务时&#xff0c;它把正在运行任务的当前状态(即CPU寄存器中的全部内容)保存到任务自己的…

STM32之外部中断[概念篇]

中断是STM32中很重要的一个概念&#xff0c;如果想要单片机效率更高&#xff0c;就要摆脱查询式&#xff0c;用中断式来提高它的运行效率。今天讲的外部中断&#xff0c;就是GPIO口和4个特定中断/事件外设&#xff0c;由于中断比较复杂&#xff0c;就先讲概念&#xff0c;下一篇…

Keil.STM32F4xx_芯片包安装

准备&#xff1a; 1. mdk525.exe2. Keil.STM32F1xx_DFP.2.2.0.pack; Keil.STM32F4xx_DFP.2.13.0.pack(下载 相应芯片的PACK 包) 链接: http://www.keil.com/dd2/Pack/ 具体&#xff1a; 安装mdk525.exe&#xff08;按常规流程下载即可&#xff09; 将下载的pack包放在 .\Kei…

Modbus-RTU写入 06命令详细说明(数据都为16进制数)(修改从站地址)

1、修改举例 如01地址改为09地址&#xff1a; 发送 01 06 00 0F 00 09 79 CF 返回 01 06 00 0F 00 09 79 CF 则将原地址01修改成09成功&#xff0c;修改地址可线下或线上修改&#xff0c;完 成后无需重新上电即可直接工作。79 CF是校验码要在软件上校验出来。 2、485信号传感器…

stm32-iic 时序驱动

数据发送 #include "IIC.h" #include "delay.h"void IIC_Init(void){GPIO_InitTypeDef GPIO_InitStruct;//使能GPIPFRCC_AHB1PeriphClockCmd(RCC_AHB1Periph_GPIOB, ENABLE);//初始GPIGB8 GPIGB9 GPIO_InitStruct.GPIO_Pin GPIO_Pin_8 | GPIO_Pin_9; …

再不学就晚了!学习嵌入式的五个好处

学习嵌入式技术带来的好处不仅限于提高就业竞争力&#xff0c;还能为你提供其他各种各样的潜在优势。种一棵树最好的时间是十年前&#xff0c;其次就是现在&#xff0c;是时候立刻开始学习嵌入式技术了&#xff01; 1. 多样的就业机会 嵌入式技术已经广泛应用于各行各业&#x…

STM32--TIM定时器(3)

文章目录 输入捕获简介频率测量输入捕获通道输入捕获基本结构PWMI的基本结构输入捕获模式测量PWM频率和占空比代码 编码器接口正交编码器工作模式接口基本结构TIM编码接口器测速代码&#xff1a; 输入捕获简介 输入捕获IC(Input Capture)&#xff0c;是处理器捕获外部输入信号…

【【STM32----I2C通信协议】】

STM32----I2C通信协议 我们会发现I2C有两根通信线&#xff1a; SCL和SDA 同步 半双工 带数据应答 支持总线挂载多设备&#xff08;一主多从&#xff0c;多主多从&#xff09; 硬件电路 所有I2C设备的SCL连在一起&#xff0c;SDA连在一起 设备的SCL和SDA均要配置成开漏输出模式 …

Architecture(X):指令

假设的计算机三种指令格式 LDR [寄存器目的][存储单元源]STR[寄存器源][存储单元目的]Operation [寄存器目的][寄存器源1][寄存器源2] 指令解析 LDR把数据从储存器复制到寄存器&#xff08;Load&#xff09;STR把数据从寄存器复制到储存器&#xff08;Store&#xff09;Oper…

Architecture(X):寄存器

寄存器的功能 高速暂存&#xff1a;用于保存数据或者指针特殊功能&#xff1a;记录循环次数记录状态 寄存器命名 ARM&#xff1a;r0&#xff0c;r1&#xff0c;…r15Intel&#xff1a;AX&#xff0c;BX&#xff0c;SP&#xff0c;SI…freesacle:D0,D1…D7

STM32单片机SPI通信实战:示例代码详解与应用案例

引言&#xff1a; 单片机SPI&#xff08;串行外设接口&#xff09;通信是一种常用的串行同步通信协议&#xff0c;用于单片机与外设之间的高速数据传输。SPI通信具有简单、高效、可靠等特点&#xff0c;在各种嵌入式系统中被广泛应用。本文将介绍单片机SPI通信的原理、配置和性…

[10min速通]STM32CubemMX配置W25Q128

[10min速通]&#x1f98f;STM32CubemMX配置W25Q128 文章目录 [10min速通]&#x1f98f;STM32CubemMX配置W25Q1281、下载源码2、配置Cube2.1 基础配置2.2 SPI配置 3、配置MDK3.1 添加源文件3.2 管理源文件3.3 完成接口配置 4、接口介绍4.1 初始化4.2 擦除4.3 写入4.4 读取 5、代…

STM32——SPI外设总线

SPI外设简介 STM32内部集成了硬件SPI收发电路&#xff0c;可以由硬件自动执行时钟生成、数据收发等功能&#xff0c;减轻CPU的负担 可配置8位/16位数据帧、高位先行/低位先行 时钟频率&#xff1a; fPCLK / (2, 4, 8, 16, 32, 64, 128, 256) 支持多主机模型、主或从操作 可…

stm32控制蜂鸣器源代码(附带proteus线路图)

说明&#xff1a; 1 PB0输出0时&#xff0c;蜂鸣器发生&#xff1b; 2 蜂鸣器电阻值如果太大会导致电流太小&#xff0c;发不出声音&#xff1b; 3蜂鸣器额定电压需要设置得低一点&#xff0c;可以是2V&#xff0c;但不能高于3V&#xff0c;这更右上角的电阻值有关系&#x…

不是说嵌入式是风口吗,那为什么工作还那么难找?

最近确实有很多媒体、机构渲染嵌入式可以拿高薪&#xff0c;这在行业内也是事实&#xff0c;但前提是你有足够的竞争力&#xff0c;真的懂嵌入式。 时至今日&#xff0c;能做嵌入式程序开发的人其实相当常见&#xff0c;尤其是随着树莓派、Arduino等开发板的普及&#xff0c;甚…

8/26车上常用打气泵的芯片方案功能说明

打气泵的功能设计&#xff1a;      显示方式&#xff1a;LED/LCD显示&#xff0c;测量和设置同屏显示。      单位&#xff1a;4个&#xff0c;循环切换顺序PSI、KPA、 Bar、 Kg/cm2      测量范围&#xff1a;      3.0 ~ 150.0PSI; 20 ~ 1030KPA      0…

ESP32 ESP-IDF SD(TF)卡保存GPS北斗模块数据

陈拓 2022/05/13-2022/05/15 1. 简介 在《ESP32 ESP-IDF 获取GPS北斗模块的经纬度和日期时间》 https://zhuanlan.zhihu.com/p/514006797 https://blog.csdn.net/chentuo2000/article/details/124757285?spm1001.2014.3001.5501 一文中我们已经用ESP32获取了GPS北斗模块HT…

IIC驱动---硬件知识(1)

0. 基础了解 SDA(serial dat address) SCL(Serial Clock Line)- IIC是一主多从的连接方式。半双工&#xff0c;一个时间点只能读或者写。 主机通过每个从机的设备地址去区分每个设备。而每一个IIC从设备的设备地址可以在IIC设备的数据手册去看到。比如AT24C08&#xff0c;通…

*看门狗各函数讲解

独立看门狗配置步骤 1&#xff09;取消寄存器写保护&#xff0c;通过函数 HAL_IWDG_Init 实现。 看门狗的喂狗时间&#xff08;也就是看门狗溢 出时间&#xff09;的计算方式为&#xff1a; Tout((42^prer) rlr) /32 其中 Tout 为看门狗溢出时间&#xff08;单位为 ms&#…

STM32日历读取,设置和输出

目录酱一、RTC简介二、创建CubeMX工程三、修改代码四、总结参考一、RTC简介 RTC (Real Time Clock)&#xff1a;实时时钟。RTC是个独立的定时器。RTC模块拥有一个连续计数的计数器&#xff0c;在相应的软件配置下&#xff0c;可以提供时钟日历的功能。修改计数器的值可以重新设…

STM32F103C8T6移植uC/OS-III

目录酱一、项目生成与文件处理1.CubeMX生成项目文件2.uCOSIII源码文件处理3.将uCOS文件添加到项目二、代码编辑三、总结参考文献一、项目生成与文件处理 1.CubeMX生成项目文件 打开stm32 cubeMX&#xff0c;选择芯片stm32f103c8&#xff0c;配置RCC 配置SYS 设置PC13为GPIO_O…

wireshark抓取网络数据包

目录酱一、wireshark抓取网络数据包1.疯狂聊天程序分析2.wireshark抓包一、wireshark抓取网络数据包 1.疯狂聊天程序分析 疯狂聊天程序采用UDP协议&#xff0c;端口号为电脑自身空余端口号。 UDP是传输层的协议&#xff0c;功能即为在IP的数据报服务之上增加了最基本的服务&a…

day5 STM32中断系统

中断的基本概念 在处理器中&#xff0c;中断是一个过程&#xff0c;即CPU正常执行程序的过程中&#xff0c;遇到外部或者内部的紧急时间需要处理&#xff0c;暂时终止当前程序的执行&#xff0c;转而去为处理紧急的时间&#xff0c;待处理完毕后再返回被打断的程序出继续往下执…

关于STM32 hal printf重定向 “FILE“ is undefined

> 关于STM32 hal printf重定向&#xff0c;及报错。“FILE” is undefined 增加以下内容&#xff1a; #include "string.h" #include "stdio.h" #pragma import(__use_no_semihosting) 标准库需要的支持函数 struct __…

【单片机】DS2431,STM32,EEPROM读取与写入

芯片介绍&#xff1a; https://qq742971636.blog.csdn.net/article/details/132164189 接线 串口结果&#xff1a; 部分代码&#xff1a; #include "sys.h" #include "DS2431.h"unsigned char serialNb[8]; unsigned char write_data[128]; unsigned cha…

STM32CubeMX之freeRTOS中断系统

任何中断的优先级都大于任务 优先级是从5-15 而不是0-15 因为前几个已经被freertos所控制了&#xff0c;因为操作系统不是万能的&#xff0c;所以我们需要弄一些中断凌驾在我们操作系统之上&#xff0c;中断中必须使用中断相关的函数&#xff01; 中断不能使用阻塞函数&#…

【FreeRTOS】【STM32】中断详细介绍

文章目录 一、三种优先级的概念辨析1. 先理清楚两个概念&#xff1a;CPU 和 MPU2. Cortex-M3 内核与 STM32F1XX 控制器有什么关系3. 优先级的概念辨析① Cortex-M3 内核和 STM32F1XX 的中断优先级② FreeRTOS 的任务的优先级 二、 Cortex-M3 内核的中断优先级1. 中断编号2. 优先…

GPIO输入-外电检测

前言 &#xff08;1&#xff09;本系列是基于STM32的项目笔记&#xff0c;内容涵盖了STM32各种外设的使用&#xff0c;由浅入深。 &#xff08;2&#xff09;小编使用的单片机是STM32F105RCT6&#xff0c;项目笔记基于小编的实际项目&#xff0c;但是博客中的内容适用于各种单片…

【STM32RT-Thread零基础入门】 5. 线程创建应用(线程创建、删除、初始化、脱离、启动、睡眠)

硬件&#xff1a;STM32F103ZET6、ST-LINK、usb转串口工具、4个LED灯、1个蜂鸣器、4个1k电阻、2个按键、面包板、杜邦线 文章目录 前言一、线程管理接口介绍二、任务&#xff1a;使用多线程的方式同时实现led闪烁和按键控制喇叭&#xff08;扫描法&#xff09;1. RT-Thread相关接…

stm32基于HAL库驱动外部SPI flash制作虚拟U盘

stm32基于HAL库驱动外部SPI flash制作虚拟U盘 &#x1f4cc;参考文章&#xff1a;https://xiaozhuanlan.com/topic/6058234791&#x1f39e;实现效果演示&#xff1a; &#x1f516;上图中的读到的FLASH_ID所指的是针对不同容量&#xff0c;所对应的ID。 //W25X/Q不同容量对应…

定时器驱动

前言 &#xff08;1&#xff09;本系列是基于STM32的项目笔记&#xff0c;内容涵盖了STM32各种外设的使用&#xff0c;由浅入深。 &#xff08;2&#xff09;小编使用的单片机是STM32F105RCT6&#xff0c;项目笔记基于小编的实际项目&#xff0c;但是博客中的内容适用于各种单片…

【强烈推荐】基于STM32的TFT-LCD各种显示实现(内容详尽含代码)

前言&#xff1a;TFT-LCD模块作为人们日常生活中常见屏幕类型之一&#xff0c;使用的受众面非常广阔。例如&#xff1a;显示各个传感器数值&#xff0c;显示精美界面&#xff0c;多级化菜单系统等等都不离不开他的身影。可以说学会TFT-LCD模块是嵌入式开发必须掌握的驱动开发技…

【学习FreeRTOS】第20章——FreeRTOS内存管理

1.FreeRTOS内存管理简介 在使用 FreeRTOS 创建任务、队列、信号量等对象的时&#xff0c;一般都提供了两种方法&#xff1a; 动态方法创建&#xff1a;自动地从FreeRTOS管理的内存堆中申请创建对象所需的内存&#xff0c;并且在对象删除后&#xff0c;可将这块内存释放回Free…

freertos之任务调度算法

介绍 所谓调度算法&#xff0c;就是怎么确定哪个就绪态的任务可以切换为运行状态。 通过配置文件FreeRTOSConfig.h的三个配置项来配置调度算法&#xff1a;configUSE_PREEMPTION &#xff08;是否抢占&#xff09; configUSE_TIME_SLICING &#xff08;是否轮转&#xff09; c…

第13章——FreeRTOS队列

1.队列简介 队列是任务到任务、任务到中断、中断到任务数据交流的一种机制&#xff08;消息传递&#xff09; FreeRTOS基于队列&#xff0c; 实现了多种功能&#xff0c;其中包括队列集、互斥信号量、计数型信号量、二值信号量、 递归互斥信号量&#xff0c;因此很有必要深入了…

STM32 PB9的外部中断

STM32F103中&#xff0c;外部中断的IRQHandler&#xff0c;EXTI0至EXTI1都是单独的&#xff0c;如图1所示&#xff1b;而从EXTI5至9则共用一个&#xff0c;如图2所示。这些可以通过CtrlF在全工程内查找。 图1 图2 以PB9为例&#xff0c;宏定义可如下配置&#xff1a; // 定义…

stm32开关控制led灯泡(附Proteus电路图)

说明&#xff1a;我的灯泡工作电压2V&#xff0c;电流设置为10um,注意了不是10毫安时微安啊&#xff0c;要不然电流太小亮不起来的。 2&#xff1a;我用的开关不是按钮button而是switch, 3&#xff1a;PB0,PB1默认都是低电平&#xff0c;采用了PULLDOWN模式&#xff0c;如果设…

*看门狗2_思考多组看门狗对多任务的监控

多任务系统中 一般结合嵌入式操作系统&#xff0c;设置一个优先级级别最高的任务作为监视器&#xff0c;以监视各个应用任务是否正常运行&#xff0c;该监视器即为软件看门狗&#xff0c;该任务对其他任务都设定一个计时器&#xff0c;每个被监视的任务在设定的时间内对软件看门…

【Freertos基础入门】深入浅出信号量

文章目录 前言一、Freertos的信号量是什么&#xff1f;二、二进制信号量和计数型信号量是什么&#xff1f;三、信号量初步了解1.二进制信号量的使用2.give和take是什么&#xff1f;3.为什么需要动态和静态创建的方式&#xff1f; 四、二进制信号量示例代码总结 前言 本系列基于…

第14章——FreeRTOS信号量

1.信号量的简介 信号量是一种解决同步问题的机制&#xff0c;可以实现对共享资源的有序访问。 信号量&#xff1a;用于传递状态&#xff08;区别于队列传递消息&#xff09; 信号量的计数值都有限制&#xff1a;限定最大值。 如果最大值被限定为1&#xff0c;那么它就是二值…

STM32输出3对PWM互补信号做电机控制-C代码

1、使用芯片STM32F103VC 2、打开MXCube新建工程 3、配置下载模式为SW&#xff0c;外部晶振8M&#xff0c;主频72M 4、配置高级定时器1&#xff0c;使用内部晶振&#xff0c;开启互补PWM输出模式 5、配置载波频率10k&#xff0c;即周期为10us &#xff08;定时周期 (Prescal…

stm32之14.超声波测距代码

-------------------- 源码 void sr04_init(void) { GPIO_InitTypeDef GPIO_InitStructure; //打开端口B的硬件时钟&#xff0c;就是供电 RCC_AHB1PeriphClockCmd(RCC_AHB1Periph_GPIOC,ENABLE); //打开端口E的硬件时钟&#xff0c;就是供电 RC…

stm32之10.系统定时器

delay_s()延时秒 delay_ms()毫秒*1000 delay_us()微秒*1000000 微秒定时器代码 void delay_us(uint32_t n) { SysTick->CTRL 0; // Disable SysTick&#xff0c;关闭系统定时器 SysTick->LOAD SystemCoreClock/1000000*n-1; // 就是nus SysTick->LOAD Sys…

stm32之3.key开关

假设key电阻为40kΩ&#xff0c;则key0 的电压3.3v*4/52.64v 2.key开关代码 ② GPIO_OType_PP//推挽输出 GPIO_OType_PP//开漏输出 推挽输出是指输出端口可以同时提供高电平和低电平输出&#xff0c;而开漏输出则是指输出端口只能提供低电平输出&#xff0c;高电平时需要借…

入行嵌入式,未来能干啥?

嵌入式技术近些年来发展迅猛&#xff0c;已经广泛应用于各个领域。那么&#xff0c;如果选择入行嵌入式&#xff0c;未来能够从事哪些有趣且有前景的工作呢&#xff1f; 1. 智能家居领域 随着物联网技术的快速发展&#xff0c;智能家居成为了人们追求便利和舒适的新时尚。作为嵌…

stm32f10x调试记录

9-自己写库—构建库函数雏形 问题&#xff08;1&#xff09;&#xff1a; stm32f10x.h(44): error: #256: invalid redeclaration of type name "GPIO_TypeDef" (declared at line 44) }GPIO_TypeDef; stm32f10x.h(59): error: #256: invalid redeclaration of …

stm32之15.超声波与灯光功能一起实现(进阶)

主函数代码修改 --------------------- 源码 int main(void) {uint32_t t0;uint32_t distance;NVIC_PriorityGroupConfig(NVIC_PriorityGroup_4);led_init();key_init();/* 初始化串口1波特率为115200bps&#xff0c;若发送/接收数据有乱码&#xff0c;请检查PLL */usart1_ini…

stm32之7.位带操作---volatile---优化等级+按键控制

源码--- #define PAin(n) (*(volatile uint32_t *)(0x42000000 (GPIOA_BASE0x10-0x40000000)*32 (n)*4)) #define PEin(n) (*(volatile uint32_t *)(0x42000000 (GPIOE_BASE0x10-0x40000000)*32 (n)*4)) #define PEout(n) (*(volatile uint32_t *)(0x420…

[分享]STM32G070 串口 乱码 解决方法

硬件 NUCLEO-G070RB 工具 cubemx 解决方法 7bit 改为 8bit printf 配置方法 添加头文件 #include <stdio.h> 添加重定向代码 #ifdef __GNUC__#define PUTCHAR_PROTOTYPE int __io_putchar(int ch)#else#define PUTCHAR_PROTOTYPE int fputc(int ch, FILE *f)#endi…

18 . 独立看门狗(IWDG)实验

独立看门狗&#xff08;IWDG&#xff09;实验 我们来学习下STM32F1 的独立看门狗&#xff08;以下简称IWDG&#xff09;。STM32F1内部自带了两个看门狗&#xff0c;一个是独立看门狗IWDG&#xff0c;另一个是窗口看门狗WWDG&#xff0c;只介绍独立看门狗IWDG&#xff0c;窗口看…

6 . STM32 GPIO介绍

GPIO是什么&#xff1f; GPIO &#xff08;general purpose intput output&#xff09; &#xff0c;是通用输入输出端口的简称&#xff0c;可以通过软件来控制其输入和输出。STM32 芯片的GPIO 引脚与外部设备连接起来&#xff0c;从而实现与外部通讯、控制以及数据采集的功能…

4 . 寄存器和存储器的操作

如何访问STM32 寄存器内容 我们知道寄存器就是一些有特定功能的内存单元&#xff0c;所以要访问STM32 寄存器也就是操作STM32 的内存单元&#xff0c;根据C 语言指针的特点&#xff0c;可以使用指针来操作STM32 的内存单元。 首先介绍两个概念&#xff1a; 片内、外设&#xf…

STM32---对GPIO电路结构的理解(输出电路)

前一段时间学习了STM32&#xff0c;先是用库函数学了一个星期。发现学完之后&#xff0c;一些基本要用的东西能配出来&#xff0c;但是过程却是十分的懵逼。于是决定暂时放弃库函数&#xff0c;用51的思维学习32&#xff0c;从寄存器开始&#xff0c;发现效果不错。一两天学一两…

STM32 中断

一、嵌套向量中断控制器 简介 NVIC是嵌套向量中断控制器&#xff0c;控制整一个芯片的中断功能&#xff0c;它是属于内核的一个外设。STM32中的NVIC是对内核的NVIC进行裁剪的&#xff0c;相当于NVIC中的一个子集。 NVIC寄存器 typedef struct {__IO uint32_t ISER[8]; …

STM32cube HAL库两条命令实现i2c通信---Nucleo L476RG用I2C实现tmp117模块温度读取并串口打印

用stm32 cubemx默认配置i2c1和urart1&#xff0c;本例子是用硬件i2c非模拟i2c /* I2C1 GPIO Configuration PB6 ------> I2C1_SCLPB7 ------> I2C1_SDA * USART1 GPIO Configuration PA9 ------> USART1_TXPA10 ------> USART1_RX */在main.…

STM32(一)STM32简介

大家好&#xff0c;今天我跟大家分享一下学习STM32的一些收获&#xff0c;希望对初学STM32的同学有所启示。当然&#xff0c;本人也是一名初学者&#xff0c;如果有谈论不妥之处&#xff0c;还望您能够批评指正&#xff0c;不吝赐教&#xff0c;本人将非常感激。如果有什么问题…

58、合并两个排序的链表

描述 输入两个单调递增的链表&#xff0c;输出两个链表合成后的链表&#xff0c;当然我们需要合成后的链表满足单调不减规则。 实现&#xff1a; function ListNode(x){this.val x;this.next null; } function Merge(pHead1, pHead2) {//递增if(!pHead1){ return pHead2}if…

STM32CubeMX 开启一个工程(基于 HAL 库点亮一个 LED)

1、打开 STM32CubeMX&#xff0c;点击File栏目&#xff0c;点击New Project&#xff1a; 或者在主界面New Project中点击ACCESS TO MCU SELECTOR&#xff1a; 2、搜索并选择要使用的 stm32 芯片型号&#xff0c;点击Start Project&#xff1a; 3、点击System Core&#xff0c…

STM32 开发板 ST-Link 调试指南

ST-Link 也不例外&#xff0c;几乎所有 JTAG/SWD 仿真器的使用都有下面三个方面的知识&#xff1a; 1、驱动的安装 2、编程软件&#xff08;Keil MDK&#xff09;配置 3、固件升级方法 1、安装 ST-Link 驱动&#xff1a; 可在【正点原子】官方论坛下载 ST-Link 资料并下载驱…

STM32——新建 Keil MDK 5 工程(寄存器版本)

注&#xff1a;本文仅依照加粗字体和图片可快速新建 Keil MDK 5 工程 由于文章没有细分步骤&#xff0c;标记点用于方便阅读。 &#x1f53a; 标记点 ① 打开 Keil MDK 5&#xff0c;点击Project > New μVision Project&#xff0c;如图&#xff1a; &#x1f53a; 标记…

STM32 BOOT模式配置以及作用

一、三种BOOT模式介绍所谓启动&#xff0c;一般来说就是指我们下好程序后&#xff0c;重启芯片时&#xff0c;SYSCLK的第4个上升沿&#xff0c;BOOT引脚的值将被锁存。用户可以通过设置BOOT1和BOOT0引脚的状态&#xff0c;来选择在复位后的启动模式。Main Flash memory是STM32内…

day9 STM32 I2C总线通信

I2C总线简介 I2C总线介绍 I2C&#xff08;Inter-Integrated Circuit&#xff09;总线&#xff08;也称IIC或I2C&#xff09;是由PHILIPS公司开发的两线式串行总线&#xff0c;用于连接微控制器及其外围设备&#xff0c;是微电子通信控制领域广泛采用的一种总线标准。 它是同步通…

proteus结合keil-arm编译器构建STM32单片机项目进行仿真

proteus是可以直接创建设计图和源码的&#xff0c;但是源码编译它需要借助keil-arm编译器&#xff0c;也就是我们安装keil-mdk之后自带的编译器。 下面给出一个完整的示例&#xff0c;主要是做一个LED灯闪烁的效果。 新建工程指定路径&#xff0c;Schematic,PCB layout都选择默…

STM32 Proteus UCOSII系统DS1302万年历时钟秒表控制系统-0056

STM32 Proteus UCOSII系统DS1302万年历时钟秒表控制系统-0056 Proteus仿真小实验&#xff1a; STM32 Proteus UCOSII系统DS1302万年历时钟秒表控制系统-0056 功能&#xff1a; 硬件组成&#xff1a;STM32F103R6单片机 LCD1602显示器多个按键DS1302北京时间 1.单片机程序使…

Open_MV学习笔记1:开发环境获取

稍微学点计算机视觉相关吧&#xff0c;从今天开始浅浅地学习一下Open_MV&#xff0c;以及回忆一下Python编程相关&#xff0c;Open_mv编程需要用到Python&#xff0c;因此设俩个专栏&#xff1a;Open_mv专栏与Python的专栏&#xff0c;大家可以与我一起&#xff0c;在俩者之间跳…

HAL库记录-SDIO外设SD卡

正点原子--阿波罗开发板STM32F429IGT6 1.STM32CubeMX配置 2.生成的代码 /*** Enable DMA controller clock*/ void MX_DMA_Init(void) {/* DMA controller clock enable */__HAL_RCC_DMA2_CLK_ENABLE();/* DMA interrupt init *//* DMA2_Stream3_IRQn interrupt configuratio…

【STM32 学习】电源解析(VCC、VDD、VREF+、VBAT)

VCC电源电压GND电源供电负电压&#xff08;通常接地&#xff09;VDD模块工作正电压VSS模块工作负电压VREFADC参考正电压VREF-ADC参考负电压VBAT电池或其他电源供电VDDA模拟供电正电压VSSA模拟供电负电压 一、VCC&#xff08;供电电压&#xff09; VCC是指芯片的电源电压&#…

基于STM32的指纹门禁系统

基于STM32的指纹门禁系统 系统简介 指纹系统&#xff0c;可以存指纹&#xff1b;指纹对了之后开门&#xff1b; 材料 STM32F103C8T6芯片OLED液晶显示屏杜邦线AS608模块用keil5进行开发 开始制作 制作过程 AS608模块调试 模块原理 模块是通过串口通信,采用回复式通信。 这…

ARM--day4(电灯实验、分析RCC、GPIO控制器,PMOS管、NMOS管的基本原理)

电灯实验代码&#xff1a; .text .global _start _start: /**********LED1点灯**************/RCC_INIT:1.使能GPIOE组控制器&#xff0c;通过RCC_AHB4ENSETR寄存器设置第&#xff3b;5:4&#xff3d;位写&#xff11;---->0x50000A28[4]1ldr r0,0x50000A28ldr r1,[r0]orr…

22年电赛B题——具有自动泊车功能的电动车——做题记录以及经验分享

前言 这道题目也是小车类电赛题目&#xff0c;十月份的电赛题&#xff0c;由于之前积累了一些经验&#xff0c;这道题目在做下来的感觉还行,但是我们看题目没有仔细审题&#xff0c;和题目要求有一些些偏差&#xff0c;但是基础大功能还是做出来辽&#xff0c;大家还是可以参考…

基于STM32设计的中药分装系统

一、设计需求 基于STM32设计的中药分装系统 【1】项目背景 中药文化是我国文化瑰宝之一,它具有疗效好、副作用小的优点,而且相对于西药,全天然的中药还具有标本兼治的特点,不仅可以用来治病,更可以对患者身体进行调理,所以格外受到当今一直追求生活质量的人们的追捧&quo…

蓝桥杯嵌入式教程:(三)按键扫描与定时器中断

在第一讲中曾经提到&#xff0c;GPIO有输入输出两种模式。在点亮LED时&#xff0c;我们已经使用了GPIO输出模式&#xff0c;在按键识别中&#xff0c;我们将要使用GPIO输入模式。首先来看看按键的电路原理图&#xff08;下图在选手资源数据包——CT117E-M4产品手册中&#xff0…

STM32 CubeMX (第三步Freertos中断管理和软件定时)

STM32 CubeMX STM32 CubeMX &#xff08;第三步Freertos中断管理和软件定时&#xff09; STM32 CubeMX一、STM32 CubeMX设置时钟配置HAL时基选择TIM1&#xff08;不要选择滴答定时器&#xff1b;滴答定时器留给OS系统做时基&#xff09;使用STM32 CubeMX 库&#xff0c;配置Fre…

硬件的各类接口

参考&#xff1a;SPI、I2C、I2S、UART、GPIO、SDIO、CAN、JTAG的区别及使用方法。 - coolyouguo - 博客园 SPI SPI是串行外设接口&#xff08;Serial Peripheral Interface&#xff09;的缩写&#xff0c;是一种高速的&#xff0c;全双工&#xff0c;同步的通信总线&#xff0c…

OpenMV与STM32串口通信 (OpenMV、K210、视觉模块 与 STM32单片机、51单片机串口通信,Python与C语言串口通信)

文章目录 Python端编码单片机端解码完整代码 2023/08/18 Python端编码 在Python端&#xff0c;我们想通过串口发送整型或浮点型数据时&#xff0c;可以借助struct.pack对需要发送的数据进行打包&#xff0c;简单来说&#xff0c;当调用这个函数时&#xff0c;设备会将需要发送…

居然有这么好用的调试工具

居然有这么好用的调试工具 基本收发虚拟示波器GPIO操作PWM输出AD-DAIIC操作SPI操作GPS显示模块设置 基本收发 软件具备最常用的串口收发功能&#xff0c;可以在需要发送的数据最后选择添加一些常用的附加数据&#xff1a; 支持2通道COM口同时接收&#xff0c;目前自己最常用的…

二、FreeRTOS目录文件概述

1、FreeRTOS目录结构 (1)官网下载FreeRTOS源码。 (2)FreeRTOS源码目录树。(由目录树生成工具zDirTree生成) E:\RTOS\官方源码\FreeRTOSv202212.01 ├FreeRTOS │ ├Demo // 预先制作好的示例工程 │ │ ├CORTEX_STM32F103_Keil // STM32F103在k…

基于STM32+FreeRTOS的四轴机械臂

目录 代码&#xff1a; 注释写的较少&#xff0c;但本文出现的代码都有注释&#xff0c;所以请直接在本文里看注释 项目概述&#xff1a; 一 准备阶段&#xff08;都是些废话&#xff09; 二 裸机测试功能 1.摇杆控制 接线&#xff1a; CubeMX配置&#xff1a; 代码 2…

STM32的ESP8266 移动端App实现方法(Android)

在Android中我们使用Socket类&#xff0c;即“套接字”就可以进行wifi通信。由于其相关说明对程序开发没有多大帮助&#xff0c;故不再赘述&#xff0c;无论什么通信&#xff0c;我们只需要知道最基本的开、关、读、写四大操作就可以完成大部分功能&#xff0c;再使用其进行通讯…

linux下 /proc /sys目录的区别(sysfs、procfs都是虚拟文件系统)、rootfs

/sys/devices 下是所有设备的真实对象&#xff0c;包括如视频卡和以太网卡等真实的设备.可以看到真正运行了哪些设备&#xff0c; 从而可以推断出用到哪些驱动文件, 例如&#xff1a;/sys/devices/1000000.pinctrl/gpio 该设备下创建的真实可用的GPIO&#xff0c;没有创建的不会…

Cortex-M可以跑Linux操作系统吗?

Cortex-M7仍然没有内存管理单元MMU&#xff0c;而linux的内存管理机制高度依赖MMU&#xff1b;还是只能运行采用实存储器管理策略​的uCLinux&#xff0c;不支持完整的linux但是可以支持uclinux uClinux从Linux 2.0/2.4内核派生而来 [1] ,沿袭了Linux的绝大部分特性。它是专门…

08:STM32----DMA数据转运

目录 1:简历 2:存储器映像 3:DMA基本结构 4: DMA转运的条件 5:DMA请求 A:DMA数据转运 1:连接图 2:数据转运DMA 3:函数介绍 4:步骤 5:代码 B:DMAAD多通道 1:连接图 2:结构图 3:函数介绍 4:代码 1:简历 DMA&#xff08;Direct Memory Access&#xff09;直接存储…

国产航顺HK32F030M: 基于NTC负温度系数的温度计

前言&#xff1a; 家里的一个儿童澡盆附带的温度计坏掉了&#xff0c;拆解后发现这东西做的真垃圾&#xff01;索性自己做一个。拆下了里面的NTC热敏电阻&#xff0c;但是不知道NTC的性能参数&#xff0c;经过测量与查资料后&#xff0c;采用用中位值滤波 、 Steinhart-Hart方…

01_lwip_raw_udp_test

1.打开UDP的调试功能 &#xff08;1&#xff09;设置宏定义 &#xff08;2&#xff09;打开UDP的调试功能 &#xff08;3&#xff09;修改内容&#xff0c;串口助手打印的日志信息自动换行 2.电脑端连接 UDP发送一帧数据 3.电路板上发送一帧数据

【STM32】SPI初步使用 读写FLASH W25Q64

硬件连接 (1) SS( Slave Select)&#xff1a;从设备选择信号线&#xff0c;常称为片选信号线&#xff0c;每个从设备都有独立的这一条 NSS 信号线&#xff0c;当主机要选择从设备时&#xff0c;把该从设备的 NSS 信号线设置为低电平&#xff0c;该从设备即被选中&#xff0c;即…

【【萌新的STM32学习-27--USART异步通信配置步骤】】

萌新的STM32学习-27–USART异步通信配置步骤 USART/UART 异步通信配置步骤 1.配置串口工作参数 HAL_UART_Init() 我们会在此处调用MSP中的回调函数 2.串口底层初始化 用户定义HAL_UART_MspInit() 配置GPIO NVIC CLOCK 等 3.开启串口异步接收中断 HAL_UART_Receive_IT() 4.…

STM32与K210串口通信

目录 1.前言 2.接线部分 3.代码部分 1.k210部分 1.调用自带的库文件 2.将I/O18设置为UART1_TX功能并设置串口 3.数据发送函数 4.主函数 4.程序现象 2.STM32部分 1主函数 2.串口接收程序 3.程序现象 4.完整代码 5.总结 1.前言 这篇文章是为了填上一篇k210的简单PID…

AP5192 DC-DC降压恒流LED汽车灯 LED长条灯 汽车雾灯驱动IC

AP5192是一款PWM工作模式,高效率、外围简单、 内置功率MOS管&#xff0c;适用于4.5-100V输入的高精度 降压LED恒流驱动芯片。最大电流1.5A。 AP5192可实现线性调光和PWM调光&#xff0c;线性调光 脚有效电压范围0.55-2.6V. AP5192 工作频率可以通过RT 外部电阻编程 来设定&…

【STM32】锁存器

问题背景 在学习FSMC控制外部NOR存储器时&#xff0c;看到在NOR复用接口模式下&#xff0c;AD信号[15:0]是复用的。也就是说&#xff0c;若不使用锁存器:当NADV为低时&#xff0c;ADx(x0…15)上出现地址信号Ax&#xff0c;当NADV变高时&#xff0c;ADx上出现数据信号Dx。若使用…

stm32片内读写项目总结(多字节读写tongxindu)

1.flash操作驱动程序 a头文件 #ifndef FLASH_H #define FLASH_H #include “stm32f4xx.h” #define BOARD_NUM_ADDR 0x0800C000 #define STM32_FLASH_BASE 0x08000000 //STM32 FLASH的起始地址 #define FLASH_WAITETIME 50000 //FLASH等待超时时间 //FLASH 扇区的起始地址…

【STM32教程】第四章 STM32的外部中断EXTI

案例代码及相关资料下载链接&#xff1a; 链接&#xff1a;https://pan.baidu.com/s/1hsIibEmsB91xFclJd-YTYA?pwdjauj 提取码&#xff1a;jauj 1 中断系统 1.1 中断的概念 中断系统的定义&#xff1a;中断是指在主程序运行过程中&#xff0c;出现了特定的中断触发条件…

linux驱动开发day6--(epoll实现IO多路复用、信号驱动IO、设备树以及节点和属性解析相关API使用)

一、IO多路复用--epoll实现 1.核心&#xff1a; 红黑树、一张表以及三个接口、 2.实现过程及API 1&#xff09;创建epoll句柄/创建红黑树根节点 int epfdepoll_create(int size--无意义&#xff0c;>0即可)----------成功&#xff1a;返回根节点对应文件描述符&#xf…

STM32F030CCT6串口3使用总结

【前提】 实际应用中&#xff0c;芯片型号为STM32F030CCT6,串口1用于模组通讯&#xff0c;串口2用于仪表通讯&#xff0c;串口3用于调试&#xff0c;log输出 串口1配置 void USART1_Config(u32 baud) {GPIO_InitTypeDef GPIO_InitStructure;USART_InitTypeDef USART_InitStru…

STM32的中断控制与DMA

目录酱一、中断简介二、HAL库中断点亮LED灯三、中断实现串口通信四、DMA简介五、用DMA连续向上位机发送数据六、总结参考文献一、中断简介 中断是数据传输方式的一种 中断共有三个过程 中断的作用 速度匹配&#xff1a;可以解决快速的CPU与慢速的外部设备之间传送数据的矛盾。…

stm32完美驱动1.77寸oled裸屏

cs随读写时序变低变高&#xff0c;e保持高电平&#xff0c;stm32操作io前一定要打开时钟&#xff01;

RT-ThreadBearPi 开发笔记 -- 小熊派基于RT-Thread接入腾讯云物联网开发平台

小熊派基于RT-Thread接入腾讯云物联网开发平台 上一篇文章讲述了小熊派通过ESP8266无线WiFi模块接入互联网&#xff0c;关于上一篇文章的具体内容&#xff0c;可以查看以下链接&#xff1a; RT-Thread&BearPi 开发笔记 -- 小熊派基于RT-Thread使用ESP8266进行网络连接 基…

STM32 F103C8T6学习笔记5:定时器输出不同占空比PWM驱动舵机旋转角度

现在学习使用STM32 F103C8T6的定时器PWM模式&#xff0c;使用PWM驱动舵机转动不同角度&#xff0c;文章提供源码&#xff0c;测试工程&#xff0c;测试动态效果图。 目录 基础原理&#xff1a; 实验目标&#xff1a; 测试视频结果&#xff1a; 测试工程下载&#xff1a; 基…

STM32 LL库+STM32CubeMX--LED呼吸灯

一、前期准备 硬件&#xff1a;STM32F103C8T6开发板调试工具&#xff1a;DAPLink(本次使用)或USB-TTL开发环境&#xff1a;STM32CubeMX、Keil、Vscode(可选)LED&#xff1a;使用PA0(TIM2_CH1)输出PWM&#xff0c;LED的阴极接GND 二、使用定时器中断产生PWM STM32F103C8T6在72…

stm32 cubemx can通讯(2)过滤器设置说明代码分析

文章目录 前言一、基础知识快速理解1.1 理解CAN标识符:1.2 过滤器的工作原理:1.3 如何配置过滤器: 二、过滤器模式的选择&#xff08;监听多个ID&#xff09;2.1 使用掩码模式多个过滤器匹配多标准ID:2.2 使用掩码来匹配多个ID:&#xff08;待写&#xff09;2.3 使用列表模式来…

点亮你的第一颗Led灯

1、&#x1f4d5;前言 该系列文章用于记录个人学习stm32单片机的过程&#xff0c;全文搭配图文解说&#xff0c;零基础的萌新也能读懂&#xff0c;欢迎指导讨论~ 2、&#x1f4e6;准备材料 2.1、&#x1f4dd;硬件材料清单 面包板1块 PWLINK PowerWriter仿真器1个 杜…

stm32之8.中断

&#xff08;Exceptions&#xff09;异常是导致程序流更改的事件&#xff0c;发生这种情况&#xff0c;处理器将挂起当前执行的任务&#xff0c;并执行程序的一部分&#xff0c;称之为异常处理函数。在完成异常处理程序的执行之后&#xff0c;处理器将恢复正常的程序执行&#…

基于STM32F1的电子罗盘HMC5883L角度测量

基于STM32F1的电子罗盘HMC5883L角度测量 参考 1. HMC5883L模块 [外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-Axqqv48y-1692885921487)(…\img\HMC5883L.png)] 型号&#xff1a;GY-271使用芯片&#xff1a;HMCL5883L供电电源&#xff1a;3-5V通…

STM32G030F6 (SOP-20)Cortex ® -M0+, 32KB Flash, 8KB RAM, 17 GPIOs

淘宝淘了一批 STM32G030F6P6 SOP20&#xff0e;先备注一下, 还没想到能干嘛用&#xff0e; 手上的 STM32F103C6T6还剩一些&#xff0e; 一堆 “淘宝原厂STM32F103C8T6”, 还烫着手. 理解信息: ( 逐步补充 ) System Clock GPIOs GPIOs 17 PA[7:0] : 8bits USART Timer ADC I2…

stm32 hal库uart使用 DMA中断只能发送一次的问题

1.stm32 uart使用DMA&#xff0c;无论发送还是接收都各自有一个数据流中断。这个数据流中断是框架代码不必过多关心。 2.使用了DMA后&#xff0c;uart的global中断是否要使用&#xff1f;标准做法是在cubemx上要勾选的&#xff0c;不然&#xff0c;就会产生只能发送一次的问题…

STM32F4X Systick系统滴答定时器

STM32F4X Systick系统滴答定时器 Systick定时器Systick使用Systick时钟源Systick寄存器Systick频率计算Systick例程 Systick定时器 在以Crotex-M4为架构的MCU中&#xff0c;都会有一个Systick内核定时器&#xff0c;这个定时器的作用可以给系统一个心跳时钟&#xff0c;通常用…

freertos之vTaskDealy函数与vTaskDelayUntil函数的区别

vTaskDelay( const TickType_t xTicksToDelay ) 改函数表示&#xff1a;延时xTicksToDelay 个时间片。实验 在main函数里创建3个任务&#xff0c;任务1的优先级最高&#xff0c;然后在任务1执行vTaskDelay函数 实验结果 可以看到&#xff0c;每次任务1休眠的时间是一样&a…

新能源发电变流关键技术开发

新能源发电变流技术开发 文章目录 新能源发电变流技术开发前言新能源并网电力质量控制电能储存风能、光伏等行业新能源汽车新型城区的能源建设因此,可以说,新能源发电变流技术在电力系统与现代新能源行业中具有重要的应用地位,它对提高新能源发电的可靠性、电力质量、储能等…

ARM开发,stm32mp157a-A7核(UART总线实验)

1.目标&#xff1a;键盘输入一个字符a,串口工具显示b&#xff1b; 键盘输入一个字符串"nihao",串口工具显示"nihao"&#xff1b; 2.框图分析&#xff1a; 3.代码&#xff1a; ---.h头文件--- #ifndef __UART4_H__ #define __UART4_H__#include "st…

C语言-内存分布(STM32内存分析)

C/C内存分布 一、内存组成二、静态区域文本段 &#xff08;Text / 只读区域 RO&#xff09;已初始化读写数据段&#xff08;RW data -- Initialized Data Segment&#xff09;未初始化数据段&#xff08;BSS -- Block Started by Symbol&#xff09; 三、动态区域堆&#xff08…

【【STM32分析IO该设置什么模式的问题】】

STM32分析IO该设置什么模式的问题 我们分析而言 我们对于PA0 的设计就从此而来 对于边沿触发的选择我们已经有所了解了 我们下拉&#xff0c;但是当我们摁下开关的时候 从0到1 导通了 所以这个是下拉 上升沿触发 而对于KEY0 我们摁下是使得电路从原来悬空高阻态到地就是0 所以…

9 串口通信(三)

9.4 USART串口数据包 HEX数据包 1&#xff09;固定包长&#xff0c;含包头包尾 例如陀螺仪的数据&#xff0c;需要XYZ坐标一起打包 2&#xff09;可变包长&#xff0c;含包头包尾 如果定义的包头包尾刚刚好也是数据&#xff0c;这样容易混淆&#xff0c;解决的办法&#x…

06:TIM定时器功能------编码器接口功能

目录 1:简历 2: 正交编码器 3:编码器接口基本结构 4:编码器的工作模式 5:极性反转 A:编码器接口测速 1:连接图 2:函数介绍 3:步骤 4:代码 B:编码器接口计次 1:连接图 2:代码 1:简历 Encoder Interface 编码器接口 编码器接口可接收增量&#xff08;正交&#xff09;编…

stm32之24.RTC闹钟usart端口修改配置

&#xff08;需要修改&#xff09; 源码 while(1){//rtc唤醒事件if(g_rtc_wakeup_event){//获取日期RTC_GetDate(RTC_Format_BCD,&RTC_DateStructure);printf("20%02x/%02x/%02xWeek:%x\r\n",RTC_DateStructure.RTC_Year,RTC_DateStructure.RTC_Month,RTC_Date…

RTE_Driver驱动框架和Keil下开发需要支持的xxx_DFP软件包分析

1.RTE_Driver驱动框架 RTE_Driver代表"Run-Time Environment Driver"&#xff0c;是Keil MDK&#xff08;Microcontroller Development Kit&#xff09;中的一个概念。Keil MDK是一种用于嵌入式系统开发的集成开发环境&#xff0c;提供了开发、编译、调试等一系列工具…

STM32 CubeMX (H750)RGB屏幕 LTDC

STM32 CubeMX STM32 RGB888 LTDC STM32 CubeMX一、STM32 CubeMX 设置时钟树LTDC使能设置屏幕参数修改RGB888的GPIO 二、代码部分效果 RGB屏幕线束定义&#xff1a; 一、STM32 CubeMX 设置 时钟树 这里设置的时钟&#xff0c;关于刷新速度 举例子&#xff1a;LCD_CLK24MHz 时…

常见的数据结构之队列

一、介绍 队列(Queue)是一种常见的数据结构,用于存储和管理一系列数据元素,其中元素按照 先进先出(First-In-First-Out,简称FIFO)的原则进行插入和删除。 队列可以类比为现实生活中排队等候的场景,例如在超市收银台排队购物的顾客队列。 二、队列的基本操作 2.1 出…

STM32的lorawan协议栈

LoRa 是LPWAN通信技术中的一种&#xff0c;是美国Semtech公司采用和推广的一种基于扩频技术的超远距离无线传输方案。这一方案改变了以往关于传输距离与功耗的折衷考虑方式为用户提供一种简单的能实现远距离、长电池寿命、大容量的系统&#xff0c;进而扩展传感网络。目前&…

操作系统启动过程

linux 系统 linux 基础环境配置 linux下编程代码实验 CMake/Make/Shell/Multi-thread/socket/stl/awk linux 软硬件驱动 Linux程序设计 中文版 第四版 Linux中国翻译项目 Vim Practice Linux命令必知必会 Unix环境高级编程 代码&学习笔记 ubuntu deb软件包搜索下…

【STM32】IWDG—独立看门狗

基于stm32f103 基于《零死角玩转STM32—F103指南者》 一个12bit的递减计数器 STM32 有两个看门狗&#xff0c;一个是独立看门狗另外一个是窗口看门狗&#xff0c;独立看门狗号称宠物狗&#xff0c;窗口看门狗号称警犬。 独立看门狗是一个 12 位的递减计数器&#xff0c;当计…

如何在Proteus进行STM32F103C8T6模拟以及keil5开发

一、下载Proteus 8和keil5 最新版 Proteus 8.15 Professional 图文安装教程&#xff08;附安装包&#xff09;_proteus密钥_main工作室的博客-CSDN博客Keil uVision5 5.38官方下载、安装及注册教程_keil uvision5下载_这是乐某的博客-CSDN博客 二、新建STM32F103C8项目 接下来…

【STM32】认识库函数引脚GPIO开启时钟,需要初始化的结构体GPIOMode_TypeDef

GPIO_Mode_AIN 0x0,GPIO_Mode_IN_FLOATING 0x04,GPIO_Mode_IPD 0x28,GPIO_Mode_IPU 0x48,GPIO_Mode_Out_OD 0x14,GPIO_Mode_Out_PP 0x10,GPIO_Mode_AF_OD 0x1C,GPIO_Mode_AF_PP 0x18 GPIOMode_TypeDef ① 浮空输入&#xff1a; GPIO_Mode_IN_FLOATING //串口输入 ② …

stm32学习-芯片系列/选型/开发方式

【03】STM32HAL库开发-初识STM32 | STM概念、芯片分类、命名规则、选型 | STM32原理图设计、看数据手册、最小系统的组成 、STM32IO分配_小浪宝宝的博客-CSDN博客  STM32&#xff1a;ST是意法半导体&#xff0c;M是MCU/MPU&#xff0c;32是32位。  ST累计推出了&#xff1a…

4.2 实现基于栈的表达式求值计算器(难度4/10)

本作业主要考察&#xff1a;解释器模式的实现思想/栈结构在表达式求值方面的绝对优势 C数据结构与算法夯实基础作业列表 通过栈的应用&#xff0c;理解特定领域设计的关键作用&#xff0c;给大家眼前一亮的感觉。深刻理解计算机语言和人类语言完美结合的杰作。是作业中的上等…

【【STM32--28--IO引脚的复用功能】】

STM32–28–IO引脚的复用功能 STM32的IO复用功能 何为复用? 我们先了解一下何为通用 IO端口的输入或输出是由GPIO外设控制&#xff0c;我们称之为通用 复用&#xff1a; IO端口的输入或者是输出是由其他非GPIO外设控制就像经常说的USART 由 DR寄存器进行输出 STM32的IO复用功…

二轮平衡小车2:编码器与MPU6050 加速度计

今日继续我的二轮平衡小车开发之路~~ 本文主要贴代码&#xff0c;之前的文章都有原理&#xff0c;代码中相应初始化驱动部分也有注释~~ 文章提供源码&#xff0c;解释以及工程下载&#xff0c;测试效果视频。 编码器与陀螺仪相关原理&#xff1a; 可以看之前的文章&#xff0…

android 系统开发

android 系统 android_C代码开发 Android Skia2D引擎库 深度优化的算法、完善的渲染体系和精炼的代码框架 Android图形显示系统 AndroidlibJpeg库解码OpenCL优化 adb 等工具 adb下载地址 https://dl.google.com/android/repository/platform-tools-latest-linux.zip ht…

STM32f103入门(10)ADC模数转换器

ADC模数转换器 ADC简介AD单通道初始化代码编写第一步开启时钟第二步 RCCCLK分频 6分频 72M/612M第三步 配置GPIO 配置为AIN状态第四步&#xff0c;选择规则组的输入通道第五步 用结构体 初始化ADC第六步 对ADC进行校准编写获取电压函数初始化代码如下 Main函数编写 ADC简介 ADC…

RT-Thread在STM32硬件I2C的踩坑记录

RT-Thread在STM32硬件I2C的踩坑记录 0.前言一、软硬件I2C区别二、RT Thread中的I2C驱动三、尝试适配硬件I2C四、i2c-bit-ops操作函数替换五、Attention Please!六、总结 参考文章&#xff1a; 1.将硬件I2C巧妙地将“嫁接”到RTT原生的模拟I2C驱动框架 2.基于STM32F4平台的硬件I…

STM32启动模式详解

文章目录 前置知识1. 单片机最小系统组成2. BOOT电路3. 三种启动模式4. 存储器映射 从主FLASH启动从系统存储区启动从SRAM启动 前置知识 1. 单片机最小系统组成 一个单片机最小系统由电源、晶振、下载电路、BOOT电路、和复位电路组成。少一个单片机都启动不了。 2. BOOT电路 …

STM32 CubeMX (第四步Freertos内存管理和CPU使用率)

STM32 CubeMX STM32 CubeMX &#xff08;第四步Freertos内存管理和CPU使用率&#xff09; STM32 CubeMX一、STM32 CubeMX设置时钟配置HAL时基选择TIM1&#xff08;不要选择滴答定时器&#xff1b;滴答定时器留给OS系统做时基&#xff09;使用STM32 CubeMX 库&#xff0c;配置Fr…

操作系统_内存管理(二)

目录​​​​​​​ 2. 虚拟内存管理 2.1 虚拟内存的基本概念 2.1.1 传统存储管理方式的特征 2.1.2 局部性原理 2.1.3 虚拟存储器的定义和特征 2.1.4 虚拟内存技术的实现 2.2 请求分页管理方式 2.2.1 页表机制 2.2.2 缺页中断机构 2.2.3 地址变换机构 2.3 页框分配…

STM32单片机WIFI手机APP智能窗户窗帘控制系统手动自动定时

实践制作DIY- GC0163--WIFI手机APP智能窗户窗帘控制系统 基于STM32单片机设计---WIFI手机APP智能窗户窗帘控制系统 二、功能介绍&#xff1a; STM32F103C系列最小系统板5VUSB电源ULN2003控制的步进电机5个按键DS1302时钟芯片LCD1602显示器光敏电阻结合内部ADC采集光强DHT11温度…

CLion开发STM32

CLion开发STM32 opencd https://gnutoolchains.com/arm-eabi/openocd/ gcc-arm-none-eabi https://launchpad.net/gcc-arm-embedded/download arm-none-eabi-gcc -v“gcc-arm-none-eabi是GNU项目下的软件,是一个面向裸机arm的编译器。 mingw 需要把opencd和的工具链添加…

stm32单片机开关控制LED灯(中断方式)(proteus电路图)

注意了&#xff1a;一般人都是用按键button实现这个功能&#xff0c;但是我就是喜欢用Switch&#xff0c;然后我就用了Switch&#xff0c;喜欢的朋友欢迎看一看 不同地方在于&#xff1a;这里是interrupt 函数 void EXTI0_IRQHandler(void) {/* USER CODE BEGIN EXTI0_IRQn 0…

c# 与单片机之间 float与byte的相互转化

该章作为 串口调试助手及结构体的收发的补充,主要用于自定义协议中的负数,浮点数等的收发(我们以前用的浮点数等,是直接使用的字符串的收发,从字符串中进行解析的,这里主要使用十六进制,对其收发原理及使用操作进行剖析)。 虚拟串口调试助手 一般来说,电脑的外部设备…

【STM32单片机】FATS文件系统,写入字符串到文件,读取文件内容

基于正点原子的库函数版本的FATS文件系统&#xff0c;实现向文件写入字符串&#xff0c;读取文件内容&#xff0c;这里是指txt文件&#xff0c;其余文件其实也一样&#xff0c;读取成字节。 #include "led.h" #include "delay.h" #include "sys.h&qu…

速通蓝桥杯嵌入式省一教程:(六)PWM输出

定时器除了用于最基本的定时器计时中断以外&#xff0c;还可以用于输出PWM(Pulse Width Modulation)波&#xff0c;即脉冲宽度调制波形&#xff0c;也就是频率与占空比均可改变的矩形波。下面我们就使用PA1端口生成PWM波。 在Cube中&#xff0c;首先需要将PA1设置成定时器的通…

【学习日记】【FreeRTOS】FreeRTOS 移植到 STM32F103C8

前言 本文基于野火 FreeRTOS 教程&#xff0c;内容是关于 FreeRTOS 官方代码的移植的注意事项&#xff0c;并将野火例程中 STM32F103RC 代码移植到 STM32F103C8。 一、FreeRTOS V9.0.0 源码的获取 两个下载链接&#xff1a; 官 网 代码托管 二、源码文件夹内容简介 Source…

1.1蓝桥杯单片机学习之环境搭建

1.1蓝桥杯单片机学习之环境搭建 Keil C51的安装 首先双击打开keil C51的exe安装程序 在接下来的弹出的窗口中一直点击NEXT 在这里在每个框里面随便填一些内容就可以进行下一步了&#xff0c;这些内容不会影响到后面 然后就是安装完成了。 STC烧录软件设置 首先直接打开…

84、基于stm32单片机超市自助存储柜快递箱系统设计(程序+原理图+流程图+参考论文+开题报告+任务书+设计资料+元器件清单等)

单片机主芯片选择方案 方案一&#xff1a;AT89C51是美国ATMEL公司生产的低电压&#xff0c;高性能CMOS型8位单片机&#xff0c;器件采用ATMEL公司的高密度、非易失性存储技术生产&#xff0c;兼容标准MCS-51指令系统&#xff0c;片内置通用8位中央处理器(CPU)和Flash存储单元&a…

stm32f1xx单片机拦截中断源代码

这个是实现后的效果&#xff0c;可以看到已经没有中断的效果了 这个是拦截前的效果可以看到电平是在变化的 实现原理非常简单&#xff1a;一句话搞定&#xff1a; if(TIM2->CNTTIM2->ARR-5)TIM2->CNT-5; 以下是完整的代码&#xff1a;是用来补充说明和筹字数的 /* …

GE IS220PAICH2A 336A4940CSP11 数字量输入模块产品应用领域

GE IS220PAICH2A 336A4940CSP11 是一款数字量输入模块&#xff0c;通常用于工业自动化和控制系统中&#xff0c;用于监测和采集数字输入信号。这种类型的模块可以在各种应用领域中发挥作用&#xff0c;以下是一些可能的应用领域&#xff1a; 工业过程控制&#xff1a; GE IS220…

stm32 freeRTOS lwip TCP快速发送,内存泄露问题

现象1&#xff1a; 发送缓慢&#xff0c;tcp_write之后要等200多ms才能过发送出去&#xff0c;而且粘包严重。 解决办法 tcp_write之后&#xff0c;立马调用tcp_output &#xff0c;tcp就会立马发送。 tcp_write tcp_output 现象2&#xff1a; 持续快速发送和接受TCP数据出…

fuchsia系统

fuchsia系统 Fuchsia&#xff0c;是由Google公司开发的继Android和Chrome OS之后的第三个系统&#xff0c;已在Github中公开的部分源码可以得知。Google对于Fuchsia的说明是“Pink&#xff08;粉红&#xff09;Purple&#xff08;紫色&#xff09;Fuchsia&#xff08;灯笼海棠…

基于STM32设计的格力空调遥控器

一、格力空调协议介绍 格力空调的红外控制协议被称为格力红外通讯协议或者格力红外遥控协议。这个协议定义了一系列红外信号&#xff0c;可以用来控制格力空调的各种操作&#xff0c;例如开关、温度控制、模式选择、风速控制等等。 格力空调的红外控制协议是一种自定义协议&a…

【STM32】学习笔记(EXTI)-江科大

EXTI外部中断 中断&#xff1a;在主程序运行过程中&#xff0c;出现了特定的中断触发条件&#xff08;中断源&#xff09;&#xff0c;使得CPU暂停当前正在运行的程序&#xff0c;转而去处理中断程序&#xff0c;处理完成后又返回原来被暂停的位置继续运行 中断优先级&#x…

stm32---用外部中断实现红外接收器

一、红外遥控的原理 红外遥控是一种无线、非接触控制技术&#xff0c;具有抗干扰能力强&#xff0c;信息传 输可靠&#xff0c;功耗低&#xff0c;成本低&#xff0c;易实现等显著优点&#xff0c;被诸多电子设备特别是 家用电器广泛采用&#xff0c;并越来越多的应用到计算机系…

keil推出的RTX源码

已经放在附件&#xff0c;需要的伙伴自行下载

stm32和stc32的区别 stc12和stm32哪个简单

stm32和stc32的区别 STM32和STC32是两种不同的单片机系列&#xff0c;由不同的厂家生产。 STM32是ST公司生产的一款32位ARM Cortex-M系列的单片机&#xff0c;具有高性能、低功耗、丰富的外设接口等特点&#xff0c;广泛应用于各种电子产品中。 STC32则是中国STC微控制器公司…

基于STM32智能环境系统

摘要 本系统采用stm32f407作为主控芯片&#xff0c;实现对环境的监测。并且通过和手机通信&#xff0c;获取当前的天气预报信息&#xff0c;结合当前测得的温湿度&#xff0c;可以为用户提供出行建议。利用stm32自带的RTC可以实现时间及闹钟功能。此外RTC还可以用于电子日历的…

RS485隔离电路方案

RS485总线是一种使用平衡发送&#xff0c;差分接收实现通讯的通用串口通信总线&#xff0c;由于其具有抗共模干扰能力强、成本低、抗噪能力强、传输距离远、传输速率高、可连接多达256个收发器等优点&#xff0c;广泛应用于工业智能仪表&#xff0c;通讯设备等各个领域。 RS485…

【【萌新的STM32学习25--- USART寄存器的介绍】】

萌新的STM32学习25- USART寄存器的介绍 STM32–USART寄存器介绍&#xff08;F1&#xff09; 控制寄存器1 &#xff08;CR1&#xff09; 位13&#xff1a; 使能USART UE 0&#xff1a; USART分频器和输出被禁止 1&#xff1a; USART模块使能 位12 &#xff1a; 配置8个数据位…

硬件速攻-HC-SR04超声波传感器

介绍 HC-SR04超声波模块是一种常用的测距传感器&#xff0c;可以通过发射超声波脉冲并接收其回波来测量物体与模块之间的距离。它广泛应用于许多领域&#xff0c;如机器人、无人机、智能车辆等。 外观 现象 XCOM串口实时打印距离 接线 VCC 5V GND GND ECHO PB12 (可改任意…

MBD开发 STM32 外部仿真

要接一个串口&#xff0c;用来设备和simulink的通信 烧录即可 烧录时选择串口

【嵌入式学习笔记】嵌入式入门8——SPI总线协议

1.SPI介绍 SPI&#xff1a;串行外设设备接口&#xff08;Serial Peripheral Interface&#xff09;&#xff0c;是一种高速的&#xff0c;全双工&#xff0c;同步的通信总线。主要应用在存储芯片、AD转换器以及LCD中。 【注&#xff1a;IIC是电平协议&#xff0c;SPI是边沿协议…

STM32 CubeMX (第二步Freertos任务通信:队列、信号量、互斥量,事件组,任务通知)

STM32 CubeMX STM32 CubeMX ____Freertos任务通信&#xff1a;队列、信号量、互斥量&#xff0c;事件组&#xff0c;任务通知 STM32 CubeMX一、STM32 CubeMX设置时钟配置HAL时基选择TIM1&#xff08;不要选择滴答定时器&#xff1b;滴答定时器留给OS系统做时基&#xff09;使用…

stm32的命令规则

stm32型号的说明&#xff1a;以STM32F103RBT6这个型号的芯片为例&#xff0c;该型号的组成为7个部分&#xff0c;其命名规则如下&#xff1a;

【学习FreeRTOS】第12章——FreeRTOS时间管理

1.FreeRTOS系统时钟节拍 FreeRTOS的系统时钟节拍计数器是全局变量xTickCount&#xff0c;一般来源于系统的SysTick。在STM32F1中&#xff0c;SysTick的时钟源是72MHz/89MHz&#xff0c;如下代码&#xff0c;RELOAD 9MHz/1000-1 8999&#xff0c;所以时钟节拍是1ms。 portNV…

【STM32RT-Thread零基础入门】 7. 线程创建应用(多线程运行机制)

硬件&#xff1a;STM32F103ZET6、ST-LINK、usb转串口工具、4个LED灯、1个蜂鸣器、4个1k电阻、2个按键、面包板、杜邦线 文章目录 前言一、RT-Thread相关接口函数1. 获取当前运行的线程2. 设置调度器钩子函数 二、程序设计1. 头文件包含及宏定义2. 线程入口函数定义3. main函数设…

STM32循迹小车系列教程(三)—— 使用灰度传感器循迹

本章节主要讲解如何获取灰度传感器值以及如何使用灰度传感器循迹 灰度传感器简介 灰度传感器如图 1 所示&#xff1a; 灰度传感器 使用一对抗干扰较强的光电传感器&#xff0c;其中发射管的光源采用高亮白色聚光 LED&#xff0c;发射管端发出的光线通过不同环境背景的反射之…

实现基于栈的表达式求值计算器(难度4/10)

本作业主要考察&#xff1a;解释器模式的实现思想/栈结构在表达式求值方面的绝对优势 C数据结构与算法夯实基础作业列表 通过栈的应用&#xff0c;理解特定领域设计的关键作用&#xff0c;给大家眼前一亮的感觉。深刻理解计算机语言和人类语言完美结合的杰作。是作业中的上等…

STM32 RTC实验

RTC时钟简介 STM32F103的实时时钟&#xff08;RTC&#xff09;是一个独立的定时器。 STM32的RTC模块拥有一组连续计数的计数器&#xff0c;在相对应的软件配置下&#xff0c;可提供时钟日历的功能。 修改计数器的值可以重新设置系统的当前时间和日期。 RTC模块和时钟配置系统…

关于使用RT-Thread系统读取stm32的adc无法连续转换的问题解决

关于使用RT-Thread系统读取stm32的adc无法连续转换的问题解决 今天发现rt系统的adc有一个缺陷&#xff08;也可能是我移植的方法有问题&#xff0c;这就不得而知了&#xff01;&#xff09;&#xff0c;就是只能单次转换&#xff0c;事情是这样的&#xff1a; 我在stm32的RT-T…

【STM32教程】第三章 使用OLED屏作为调试工具

案例代码及相关资料下载链接&#xff1a; 链接&#xff1a;https://pan.baidu.com/s/1hsIibEmsB91xFclJd-YTYA?pwdjauj 提取码&#xff1a;jauj 1 OLED调试工具 1.1对程序调试的认识与OLED简介 本章节只需要知道怎么调用封装好的驱动函数&#xff0c;用OLED屏幕来做调…

【STM32】IIC使用中DMA传输时 发送数据总少一个的问题

问题描述 在使用STM32 I2C数据发送过程中&#xff0c;发现每轮实际发送出去的数据总比在DMA配置中设定的传输数据个数要少一个。比方说&#xff1a;DMA配置里设定的传输数据个数是10个&#xff0c;结果发现在总线上只能发出9个&#xff0c;经过进一步发现是少了最后一个数据。…

单片机第三季-第三课:STM32开发板原理图、配置、浮点运算单元

目录 1&#xff0c;开发板原理图 2&#xff0c;浮点运算单元&#xff08;FPU&#xff09; 1&#xff0c;开发板原理图 课程视频比较早&#xff0c;介绍了三款开发板。观看视频时用的开发板说和51单片机共板的STM32核心板&#xff0c;将51单片机从底座拆下来后&#xff0c;安…

STM32 学习笔记1:STM32简介

1 概述 STM32&#xff0c;从字面上来理解&#xff0c;ST 是意法半导体&#xff0c;M 是 Microelectronics 的缩写&#xff0c;32 表示 32 位&#xff0c;合起来理解&#xff0c;STM32 就是 ST 公司开发的 32 位微控制器。是一款基于 ARM 公司推出的基于 ARMv7 架构的 32 位 Co…

stm32之GPIO库函数点灯分析

stm32官方为了方便开发者&#xff0c;利用CubeMX 生成HAL库有关的C代码。HAL库就是硬件抽象层(hardware abstraction layer)&#xff0c;生成一系列的函数帮助我们快速生成工程&#xff0c;脱离复杂的寄存器配置。stm32相对于51来功能强大&#xff0c;但是寄存器的数量也不是一…

ARDUINO STM32 SSD1306

STM32F103XX系列SPI接口位置 在ARUDINO 下&#xff0c;&#xff08;不需要设置引脚功能&#xff0c;不需要开启时钟设置&#xff0c;ARDUINO已经帮我们处理了&#xff09; stm32f103c6t6 flash不足&#xff0c;不足以运行U8G2,产生错误 改用U8X8&#xff0c;后将字体改为u8x8_…

【STM32】学习笔记(OLED)-江科大

调试方式 OLED简介 硬件电路 驱动函数 OLED.H #ifndef __OLED_H #define __OLED_Hvoid OLED_Init(void); void OLED_Clear(void); void OLED_ShowChar(uint8_t Line, uint8_t Column, char Char); void OLED_ShowString(uint8_t Line, uint8_t Column, char *String); void OL…

STM32f103入门(5)定时器中断

STM32 TIM&#xff08;定时器/计数器&#xff09;模块的中断流程如下&#xff1a; 配置TIM寄存器&#xff1a;首先&#xff0c;通过配置TIM相关的寄存器来设置计时器的基本参数&#xff0c;例如预分频系数、计数模式、计数器周期等。 使能TIM中断&#xff1a;使用TIM_ITConfig函…

STM32f103入门(4)对射式红外传感器计次(外部中断)

中断:在主程序运行过程中&#xff0c;出现了特定的中断触发条件 (中断源)&#xff0c;使得CPU暂停当前正在运行的程序&#xff0c;转而去处理中断程序处理完成后又返回原来被暂停的位置继续运行中断优先级:当有多个中断源同时申请中断时&#xff0c;CPU会根据中断源的轻重缓急进…

【STM32笔记】HAL库I2C通信配置、读写操作及通用函数定义

【STM32笔记】HAL库I2C通信配置、读写操作及通用函数定义 文章目录 I2C协议I2C配置I2C操作判断I2C是否响应I2C读写 附录&#xff1a;Cortex-M架构的SysTick系统定时器精准延时和MCU位带操作SysTick系统定时器精准延时延时函数阻塞延时非阻塞延时 位带操作位带代码位带宏定义总…

stm32之智能垃圾桶实战

之前用过51做过一个垃圾桶的小项目&#xff0c;这里用32重新搞了一下。视频的效果和之前一样&#xff0c;可参考这个垃圾桶效果 。 一、项目描述&#xff08;同51&#xff09; 项目主要是模拟不用手动打开垃圾桶盖&#xff0c;而进行自动操作。自动打开的条件如下&#xff1a…

Gerver view软件使用

一、官方文档 支持开源开发自己的软件和增加一些功能&#xff0c;下面是给出了基础的界面 gerbv - A Free/Open Source Gerber Viewer (geda-project.org) 二、软件说明 Gerbv 是 Gerber RS-274X 文件、Excellon 钻孔文件和 CSV 拾取和放置文件的查看器。Gerbv是一个原生的…

Stm32_标准库_TIM中断_PWM波形_呼吸灯

基本原理 PWM相关物理量的求法 呼吸灯代码 #include "stm32f10x.h" // Device header #include "Delay.h"TIM_TimeBaseInitTypeDef TIM_TimeBaseInitStructure; TIM_OCInitTypeDef TIM_OCInitStructuer;//结构体 GPIO_InitTypeDef GPIO_InitStructur…

【STM32】sct 分散加载文件的格式与应用

简介 当工程按默认配置构建时&#xff0c;MDK 会根据我们选择的芯片型号&#xff0c;获知芯片的内部FLASH 及内部 SRAM 存储器概况&#xff0c;自动生成一个以工程名命名的后缀为*.sct 的分散加载文件(Linker Control File&#xff0c;scatter loading)&#xff0c;链接器根据…

STM32F411配置外部中断(标准库)

前言 本期博客是纯代码分享 代码 和F1的区别是复用的变化改为使用以下函数 RCC_APB2PeriphClockCmd(RCC_APB2Periph_SYSCFG,ENABLE);//SYSCFG时钟初始化配置代码 void Exti_init(void) {EXTI_InitTypeDef EXTI_InitStructure;NVIC_InitTypeDef NVIC_InitStructure;RCC_APB2…

【C语言】#define 宏定义初步使用

使用宏定义可以防止出错&#xff0c;提高可移植性&#xff0c;可读性&#xff0c;方便性等。 下面列举了一些成熟软件中常用的宏定义。 重新定义一些基本类型 重新定义一些类型&#xff0c;防止由于各种平台和编译器的不同&#xff0c;而产生的类型字节数差异&#xff0c;方便…

如何写一个外设驱动?

我的圈子&#xff1a; 高级工程师聚集地 我是董哥&#xff0c;高级嵌入式软件开发工程师&#xff0c;从事嵌入式Linux驱动开发和系统开发&#xff0c;曾就职于世界500强企业&#xff01; 创作理念&#xff1a;专注分享高质量嵌入式文章&#xff0c;让大家读有所得&#xff01; …

STM32--RTC实时时钟

文章目录 Unix时间戳时间戳转换BKPRTC简介RTC框图硬件电路RTC的注意事项RTC时钟实验工程 Unix时间戳 Unix 时间戳是从1970年1月1日&#xff08;UTC/GMT的午夜&#xff09;开始所经过的秒数&#xff0c;不考虑闰秒。 时间戳存储在一个秒计数器中&#xff0c;秒计数器为32位/64…

可控硅调功电路原理

在常见的马达调速以及需要调整负载功率的场合&#xff0c;经常会用到可控硅调功电路&#xff0c;下图是常见的应用电路。 调功电路主要由阻容移相电路和可控硅触发电路构成&#xff0c;工作过程如下&#xff0c;当交流电的正半周时&#xff0c;交流电通过R5,可调电阻R3给电容C1…

023 - STM32学习笔记 - 扩展外部SDRAM(二) - 扩展外部SDRAM实验

023- STM32学习笔记 - 扩展外部SDRAM&#xff08;一&#xff09; - 扩展外部SDRAM实验 本节内容中要配置的引脚很多&#xff0c;如果你用的开发板跟我的不一样&#xff0c;请详细参照STM32规格书中说明对相关GPIO引脚进行配置。 先提前对本届内容的变成步骤进行总结如下&…

SPWM 与 SVPWM 原理及算法

所谓SPWM&#xff0c;就是在PWM的基础上改变了调制脉冲方式&#xff0c;脉冲宽度时间占空比按正弦规律排列&#xff0c;这样输出波形经过适当的滤波可以做到正弦波输出。它广泛地用于直流交流逆变器等&#xff0c;比如高级一些的UPS就是一个例子。三相SPWM是使用SPWM模拟市电的…

stm32CubeMX HAL W5500芯片介绍 第一章

W5500芯片介绍 文章目录 W5500芯片介绍简单简绍以太网以太网分五层&#xff1a;第一层物理层&#xff1a;第二层&#xff1a;数据链路层&#xff1a;第三层&#xff1a;网络层&#xff1a;第四层&#xff1a;传输层&#xff1a;第五层&#xff1a;应用层&#xff1a;以太网应用…

CH341 USB总线转接芯片

产品概述&#xff1a; CH341是一个USB总线的转接芯片&#xff0c;通过USB总线提供异步串口、打印口、并口以及常用的2线和4线等同步串行接口。 在异步串口方式下&#xff0c;CH341提供串口发送使能、串口接收就绪等交互式的速率控制信号以及常用的MODEM联络信号&#xff0c;用于…

STM32--SPI通信与W25Q64(2)

STM32–SPI通信与W25Q64&#xff08;1&#xff09; 文章目录 SPI外设特征 SPI框图传输模式主模式全双工连续传输 非连续传输硬件SPI读写W25Q64 SPI外设 STM32内部集成了硬件SPI收发电路&#xff0c;可以由硬件自动执行时钟生成、数据收发等功能&#xff0c;减轻CPU的负担。 特…

【STM32】学习笔记(串口通信)-江科大

串口通信 通信接口硬件电路电平标准USARTUSART框图 通信接口 串口是一种应用十分广泛的通讯接口&#xff0c;串口成本低、容易使用、通信线路简单&#xff0c;可实现两个设备的互相通信 单片机的串口可以使单片机与单片机、单片机与电脑、单片机与各式各样的模块互相通信&#…

基于STM32的蔬菜大棚温湿度智能控制系统设计

一、前言 随着人们对健康和可持续生活方式的关注不断增加&#xff0c;蔬菜大棚成为了现代农业中的重要组成部分。蔬菜大棚提供了一个受控的环境&#xff0c;使得农民能够在任何季节种植蔬菜&#xff0c;并根据需要进行调节。为了实现最佳的蔬菜生长和产量&#xff0c;对温度和…

SDI-12协议与STM32 进行uart通信

场景是用stm32与一款温湿度传感器通信&#xff0c;不过是基于SDI-12协议&#xff0c;SDI-12时序和UART类似&#xff0c;故采用UART传输&#xff0c;原理图如下 其中DIR_OUT_SDI是一个IO引脚&#xff0c;控制UART_TX_SDI是否使能&#xff0c;U10是三态门IC&#xff0c;即拉低DIR…

Stm32_标准库_4_TIM中断_PWM波形_呼吸灯

基本原理 PWM相关物理量的求法 呼吸灯代码 #include "stm32f10x.h" // Device header #include "Delay.h"TIM_TimeBaseInitTypeDef TIM_TimeBaseInitStructure; TIM_OCInitTypeDef TIM_OCInitStructuer;//结构体 GPIO_InitTypeDef GPIO_InitStructur…

STM32F103标准库硬件IIC+DMA连续数据发送、接收

目录 前言1、AHT20模块的简单了解&#xff08;为了更好的理解程序语句&#xff09;2、了解DMA相关中断标志位以及I2C相对应的DMA通道3、本篇文章提及程序中相关的变量定义提前了解4、DMAIIC数据发送、接收流程及本文所用程序中相关的函数作用提前了解4.1 DMAIIC数据发送、接收流…

驱动开发,stm32mp157a开发板的led灯控制实验

1.实验目的 编写LED灯的驱动&#xff0c;在应用程序中编写控制LED灯亮灭的代码逻辑实现LED灯功能的控制&#xff1b; 2.LED灯相关寄存器分析 LED1->PE10 LED1亮灭&#xff1a; RCC寄存器[4]->1 0X50000A28 GPIOE_MODER[21:20]->01 (输出) 0X50006000 GPIOE_ODR[10]-&g…

初出茅庐的小李博客之单片机基础知识

单片机最小系统 电源电路&#xff1a; 提供适当的电源电压和电流以供单片机正常运行。这可能包括稳压电路和滤波电路&#xff0c;以确保电源的稳定性。 时钟电路&#xff1a; 单片机需要一个稳定的时钟信号来同步其内部操作。时钟电路可以是晶体振荡器或陶瓷振荡器&#xff0…

使用STM32怎么喂狗 (IWDG)

STM32F1 的独立看门狗&#xff08;以下简称 IWDG&#xff09;。 STM32F1内部自带了两个看门狗&#xff0c;一个是独立看门狗 IWDG&#xff0c;另一个是窗口看门狗 WWDG&#xff0c; 本章只介绍独立看门狗 IWDG&#xff0c;窗口看门狗 WWDG 会在后面章节介绍。 本章要实现的功能…

通讯协议学习之路:RS485协议理论

通讯协议之路主要分为两部分&#xff0c;第一部分从理论上面讲解各类协议的通讯原理以及通讯格式&#xff0c;第二部分从具体运用上讲解各类通讯协议的具体应用方法。 后续文章会同时发表在个人博客(jason1016.club)、CSDN&#xff1b;视频会发布在bilibili(UID:399951374) 序&…

STM32CubeMX和Keil uVision5软件

目录 关系定位&#xff1a;合作关系STM32CubeMXKeil uVision5综上所述Q1:STM32CubeMX生成的初始化代码和配置文件为什么还需要Keil uVision5进行进一步的开发和调试Q2&#xff1a;二者如何配合最后生成可执行文件 关系定位&#xff1a;合作关系 STM32CubeMX和Keil uVision5是两…

总结 STM32 常见的一百多个知识点

1、AHB系统总线分为APB1&#xff08;36MHz&#xff09;和APB2&#xff08;72MHz&#xff09;&#xff0c;其中2>1&#xff0c;意思是APB2接高速设备 2、Stm32f10x.h相当于reg52.h&#xff08;里面有基本的位操作定义&#xff09;&#xff0c;另一个为stm32f10x_conf.h专门控…

项目进展(四)-双电机均可驱动,配置模拟SPI,调平仪功能初步实现!

一、前言 截止到今天&#xff0c;该项目也算实现基本功能了&#xff0c;后续继续更新有关32位ADC芯片相关的内容&#xff0c;今天对驱动芯片做一个总结&#xff0c;也对模拟SPI做一点总结吧 二、模拟SPI 由于模拟SPI还是得有四种模式(CPOL和CPHA组合为四种)&#xff0c;下面…

STM32MP135和STM32MP157的区别

本文介绍了STMicroelectronics公司推出的两款多核处理器STM32MP135和STM32MP157之间的区别&#xff0c;包括主频、集成硬件模块数量、内存大小和电压调节模块等方面。 STMicroelectronics是一家领先的半导体解决方案提供商&#xff0c;在嵌入式系统领域有着丰富的经验。他们…

STM32F103C8t SPI1重映射到PB3 PB4 PB5无输出

STM32F103C8t6用到了ADC 和SPI 导致PAx口无法使用SPI1 因此像复用到的引脚&#xff0c; 检查后发现硬件SPI可以复用到PB3 PB4 PB5&#xff0c; MSIO&#xff1a;PB5 MOSI&#xff1a;PB4 SCK&#xff1a;PB3 但是尝试后发现没有反映 SCK引脚没有波形输出 GPIO_PinRemapConfig(…

STM32 Cube项目实战开发过程中--调用Freemodbus通信出现异常问题原因分析--ADC DMA初始化顺序导致串口数据异常问题解决办法

文章目录 1.ADC与DMA初始化顺序导致使用Freemodbus串口通信异常&#xff1a;2.通信异常时串口初始化的顺序为&#xff1a;3.重新调整初始化位置后&#xff0c;通信问题解决&#xff1a;5.重新调整初始化位置后&#xff0c;通信正常&#xff1a;总结&#xff1a;Cube开发库系统默…

STM32F4_网络通信(网口)

前言 STM32F4开发板上自带了网口。可以通过开发板自带的网口和LWIP实现&#xff1a;TCP服务器、TCP客服端、UDP以及WEB服务器等四个功能。 1. STM32 以太网简介 STM32F4 芯片自带以太网模块&#xff0c;该模块包括带有专用 DMA 控制器的 MAC 802.3&#xff08;介质访问控制&am…

正点原子嵌入式linux驱动开发——Linux PWM驱动

PWM是很常用到功能&#xff0c;可以通过PWM来控制电机速度&#xff0c;也可以使用PWM来控制LCD的背光亮度。本章就来学习一下如何在Linux下进行PWM驱动开发。 PWM驱动解析 不在介绍PWM是什么了&#xff0c;直接进入使用。 给LCD的背光引脚输入一个PWM信号&#xff0c;这样就…

定时器之输出捕获

简介 • IC &#xff08; Input Capture &#xff09;输入捕获 • 输入捕获模式下&#xff0c;当通道输入引脚出现指定电平跳变时&#xff0c;当前 CNT 的值将被锁存到 CCR 中&#xff0c;可用于测量 PWM 波形的频率、占空比、脉冲间隔、电平持续时间等参数 • 每个高级定时器和…

正点原子嵌入式linux驱动开发——Buildroot根文件系统构建

上一小节学习了如何使用busybox来构建根文件系统&#xff0c;但是busybox构建的根文件系统不齐全&#xff0c;很多东西需要自行添加&#xff0c;比如lib库文件。在后面的驱动开发中很多第三方软件也需要自己去移植&#xff0c;这些第三方软件有很多又依赖其他的库文件&#xff…

STM32H7中使用ThreadX定时器

在STM32H723中使用ThreadX定时器可以实现多个任务的调度和时间管理 ThreadX定时器的原理和作用 ThreadX定时器是一个软件定时器&#xff0c;它使用系统时钟作为计时基准&#xff0c;可以根据需要定时执行一些任务。ThreadX定时器使用系统时钟进行计时&#xff0c;因此具有较高…

单片机设计_RTC时钟(ACM32F403)

一、电路设计 ACM32F403开发板 实现结果&#xff1a;通过串口发送每秒的时间 二、运行结果 三、部分代码 #include "APP.h"UART_HandleTypeDef Uart_Handle;/********************************************************************************* * Function …

正点原子嵌入式linux驱动开发——异步通知

上一篇笔记中使用阻塞或者非阻塞的方式来读取驱动中按键值都是应用程序主动读取的&#xff0c;对于非阻塞方式来说还需要应用程序通过poll函数不断的轮询。最好的方式就是驱动程序能主动向应用程序发出通知&#xff0c;报告自己可以访问&#xff0c;然后应用程序再从驱动程序中…

【STM32】读写内部Flash初步使用

基于stm32f103&#xff0c;作为个人学习记录使用 STM32 芯片内部有一个 FLASH 存储器&#xff0c;它主要用于存储代码,在紧急状态下常常会使用内部 FLASH 存储关键记录&#xff1b; 内部 FLASH 的构成 STM32 的内部 FLASH 包含主存储器、系统存储器以及选项字节区域 大容量…

STM32 定时器介绍--通用、高级定时器

目录 高级定时器 1.功能框图 1-时钟源 2-时基单元 3-输入捕获 4-输出比较 2.输入捕获的应用 3.输出比较的应用 4.初始化结构体 1-时基初始化结构体 2-输出比较结构体 3-PWM信号 周期和占空比的计算--以通用定时器为例 4-输入捕获结构体 5-断路和死区初始化结构体…

13:STM32----PWR

目录 一:PWR 1:简历 2:电源框图 3:低功耗模式 4:模式选择 5:低功耗模式注意事项 A:睡眠模式 B:停止模式 C:待机模式 二 : 案例 A:修改主频 1:连接图 2:代码 B:睡眠模式串口发送接收 1:连接图 2:代码 C:停止模式对射式红外传感器计次 1:连接图 2:函数介绍​…

Stm32_标准库_1_GPIOA初始化

代码&#xff1a; #include "stm32f10x.h" // Device headerGPIO_InitTypeDef GPIO_InitStructur;//定义变量结构体int main(void){/*使用RCC开启GPIO的时钟*/RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA, ENABLE);//开启PA端口时钟/*使用GPIO_I…

STM32三种开发方式及标准库和HAL库的编程差异

三种开发方式 STM32基于标准库函数和HAL库编程差异_stm32库函数和hal库-CSDN博客本文目的是以串口通信来简要分析STM32使用标准库函数和HAL库函数编程的差异。目录&#xff08;一&#xff09;开发方式1.配置寄存器2.库函数3.HAL库&#xff08;二&#xff09;库函数与HAL库对比…

Intel 80386运行模式

Intel 80386运行模式 一般CPU只有一种运行模式&#xff0c;能够支持多个程序在各自独立的内存空间中并发执行&#xff0c; 且有用户特权级和内核特权级的区分&#xff0c;让一般应用不能破坏操作系统内核和执行特权指令。 80386处理器有四种运行模式&#xff1a;实模式、保护模…

STM32微控制器的低功耗模式

STM32微控制器的低功耗模式(Low-power modes):Sleep mode、Stop mode 和 Standby mode。 1.1 Sleep Mode(睡眠模式): 把STM32微控制器当作一位劳累的工人,他在工作过程中需要短暂的休息。在Sleep模式下,微控制器会关闭一部分电路,减小功耗,但仍然保持对中央处理单…

stm32之28.ADC

须看原理图&#xff08;引脚、电压值、ADC几号通道&#xff09;配置 。 若对比值0~4096 模拟电压/参考电压4096/x 假设模拟电压2.1V&#xff0c;参考电压3.3v&#xff0c;4096/x3.3/2.1 ->3.3x2.1x4096 ->x2,606.5 也可反推出模拟电压 ADC转换时间 ADC时钟来源于…

基于STM32设计的游戏姿态数据手套

基于STM32设计的游戏姿态数据手套 一、项目背景 随着虚拟现实技术的发展,人机交互越来越朝着多通道、自然化的方向发展,由原来的以机器为中心向以人为中心发展。按照行业通用用途设计的高端数据手套,可以用于测量人手指动作,如搓捻、对掌等动作,广泛应用于人手的运动捕捉…

【STM32】DMA初步使用

DMA简介 DMA(Direct Memory Access)—直接存储器存取&#xff0c;是单片机的一个外设&#xff0c;它的主要功能 是用来搬数据&#xff0c;但是不需要占用 CPU&#xff0c;即在传输数据的时候&#xff0c;CPU 可以干其他的事情。 以STM32F103单片机为例 F03有DMA1和DMA2两组DM…

快速掌握STM32工程创建

STM32 工程创建-- 使用Keil uVision5 软件 晓理紫 1 准备库函数库 STM32F10x_StdPeriph_Lib_V3.5.0 VX 搜索“晓丽紫”关注回复STM32F10x即可下载 2、创建一个目录用来存放工程 STM32Study STM32Study/study1 存放本次工程目录 3、打开Keil uVision5 创建工程 4、选择型号(根据…

STM32 硬件IIC 控制OLED I2C卡死问题

#更新通知&#xff1a;2023-09-06 STM32L151 固件库 使用I2C 太难了&#xff0c;又宕机了&#xff0c;建议不要在固件库版本上尝试硬件IIC 了&#xff0c;一般人真用不了&#xff0c;直接使用软件模拟的&#xff0c;或者不要使用固件库了&#xff0c;用HAL 库吧&#xff0c;据说…

系统软件启动过程

实验一&#xff1a;系统软件启动过程 参考 重要文件 调用顺序 1. boot/bootasm.S | bootasm.asm&#xff08;修改了名字&#xff0c;以便于彩色显示&#xff09;a. 开启A20 16位地址线 实现 20位地址访问 芯片版本兼容通过写 键盘控制器8042 的 64h端口 与 60h端口。b.…

第7章_freeRTOS入门与工程实践之模块使用说明与STM32CubeMX配置

本教程基于韦东山百问网出的 DShanMCU-F103开发板 进行编写&#xff0c;需要的同学可以在这里获取&#xff1a; https://item.taobao.com/item.htm?id724601559592 配套资料获取&#xff1a;https://rtos.100ask.net/zh/freeRTOS/DShanMCU-F103 freeRTOS系列教程之freeRTOS入…

第2章_freeRTOS入门与工程实践之单片机程序设计模式

本教程基于韦东山百问网出的 DShanMCU-F103开发板 进行编写&#xff0c;需要的同学可以在这里获取&#xff1a; https://item.taobao.com/item.htm?id724601559592 配套资料获取&#xff1a;https://rtos.100ask.net/zh/freeRTOS/DShanMCU-F103 freeRTOS系列教程之freeRTOS入…

正点原子嵌入式linux驱动开发——Linux并发与竞争

Linux是一个多任务操作系统&#xff0c;肯定会存在多个任务共同操作同一段内存或者设备的情况&#xff0c;多个任务甚至中断都能访问的资源叫做共享资源。在驱动开发中要注意对共享资源的保护&#xff0c;也就是要处理对共享资源的并发访问。在Linux驱动编写过程中对于并发控制…

从零开始手搓一个STM32与机智云的小项目——硬件介绍

文章目录 前言硬件简介选型1.主控2.电源3.电机驱动4.舵机驱动5.USB转TTL6.其他模块 原理图绘制1.STM32最小系统1.电源输入2.晶振选择3.复位电路4.BOOT选择电路5.下载电路 2.电源部分及与PC通信部分3.功能模块的实现1.串口2.定时器输入捕获与输出比较3.硬件SPI4.ADC5.温湿度传感…

【FreeRTOS】——中断优先级设置中断相关寄存器临界段代码保护调度器挂起与恢复

目录 前言&#xff1a; 一、中断优先级设置 二、中断相关寄存器&#xff08;STM32-Cortex M3&#xff09; 三、临界段代码保护 四、任务调度器的挂起和恢复 总结&#xff1a; 前言&#xff1a; 博客笔记根据正点原子视频教程编辑&#xff0c;仅供学习交流使用&#xff0…

cortex-m3软件断点/单步执行的实现机制

一.软件断点 软件断点设置后&#xff0c;断点处的代码如果是存放在SRAM中&#xff0c;相应的一条指令&#xff08;C语言中的一行代码可能对应多条处理器指令&#xff09;会被调试器&#xff08;debugger&#xff09;替换成cortex-m3的BKPT指令&#xff0c;当程序运行到这一BKPT…

基于标准库函数的STM32的freertos的移植(一)——github源码压缩包下载

由于freertos官网将freertos内核与freertos工程分别进行版本管理&#xff0c;因此下载freertos需要将参考工程和内核分别下载。由于采用ST公司提供的标准库函数进行因此还需要下载标准库函数&#xff0c;然后进行移植配置。具体流程如下详细描述&#xff1a; 1.首先在github的…

【STM32】标准库的引入

一、为什么要会有标志外设库 1、传统单片机软件开发方式 (1)芯片厂商提供数据手册、示例代码、开发环境 (2)单片机软件工程师面向产品功能&#xff0c;查阅数据手册&#xff0c;参考官方示例代码进行开发 (3)硬件操作的方式是用C语言对寄存器进行读写以操作硬件 (4)主要工作量…

蓝桥杯嵌入式STM32G431RBT6竞赛指南与模板——最后的绝唱

谨以此文和我去年前的一篇蓝桥杯单片机的教程构成电子类的青铜双壁. 国信长天单片机竞赛训练之原理图讲解及常用外设原理&#xff08;遗失的章节-零&#xff09;_昊月光华的博客-CSDN博客 目录 时钟树 串口重定向&#xff1a;printf输出 动态点灯(点灯大师) 按键(常用状态…

6 STM32标准库函数 之 内部集成电路(I2C) 所有函数的介绍及使用

6 STM32标准库函数 之 内部集成电路&#xff08;I2C&#xff09;所有函数的介绍及使用 1. 图片有格式2 文字无格式六 库函数之内部集成电路&#xff08;I2C&#xff09;所有函数的介绍及使用前言一、图片预览&#xff0c;无格式&#xff08;CSDN&#xff09;二、 I2C库函数固件…

RT-Thread memheap 开启多块 SRAM的方法

验证环境 NUCLEO-L476RG 开发板&#xff0c;板载 STM32L476RGT6&#xff08;96K SARM1 32K SRAM2&#xff09; Win10 64 位 Keil MDK 5.36 RT-Thread 5.0.1 版本&#xff08;2023-05-28 master 主线&#xff09; 功能描述 最近在研究 RT-Thread 内存的管理&#xff0c;熟…

STM32晶振的选择与计算

目录 1、石英晶体特性和型号2、振荡器理论2.1负电阻2.2跨导2.3负阻振荡器原理 3、皮尔斯振荡器设计3.1 皮尔斯振荡器简介3.2反馈电阻器3.3负载电容3.4振荡器跨导3.5驱动电平和外部电阻计算3.5.1计算驱动电平3.5.2另一种驱动电平测量方法3.5.3计算外部电阻 3.6启动时间3.7晶体拉…

正点原子嵌入式linux驱动开发——U-boot顶层Makefile详解

在学习uboot源码之前&#xff0c;要先看一下顶层Makefile&#xff0c;分析gcc版本代码的时候一定是先从顶层Makefile开始的&#xff0c;然后再是子Makefile&#xff0c;这样通过层层分析Makefile即可了解整个工程的组织结构。顶层Makefile也就是uboot根目录下的Makefile文件&am…

浅谈SPI总线通讯协议

SPI SPI:串行外围设备接口(Serial peripheral interface)&#xff0c;一种高速&#xff0c; 全双工、同步的通信总线。 SPI使用4条线通信&#xff1a; MISO&#xff1a;主设备数据输入&#xff0c;从设备数据输出&#xff0c;从设备发送数据。 MOSI&#xff1a;主设备数据输出…

STM32 ~ GPIO不同模式之间的区别与实现原理

GPIO全称General Purpose Input Output &#xff0c;即通用输入/输出。其实GPIO的本质就是芯片的一个引脚&#xff0c;通常在ARM中所有的I/O都是通用的。不过&#xff0c;由于每个开发板上都会设计不同的外围电路&#xff0c;这就造成了GPIO的功能可能有所不同。大部分GPIO都是…

【STM32】影子寄存器

不可操作但是真正起作用的寄存器是影子寄存器 定时器框图中&#xff0c;有些寄存器下有个阴影 这些阴影的表示这些寄存器存在影子寄存器。 图中也有对这些影子的说明&#xff0c;在U事件时传送预装载寄存器至实际寄存器。 有阴影的寄存器(AutoReloadRegister)&#xff0c;表…

【STM32】SDIO—SD 卡读写01

基于stm32f103 基于零死角玩转STM32—F103指南者 简介 1.SD 卡总共有 8 个寄存器&#xff0c;用于设定或表示 SD 卡信息。 2.SD卡的寄存器不能像STM32那样访问&#xff0c;而是利用命令访问&#xff0c;SDIO 定义了 64 个命令。SD 卡接收到命令后&#xff0c;根据命令要求对…

STM32单片机中国象棋TFT触摸屏小游戏

实践制作DIY- GC0167-中国象棋 一、功能说明&#xff1a; 基于STM32单片机设计-中国象棋 二、功能介绍&#xff1a; 硬件组成&#xff1a;STM32F103RCT6最小系统2.8寸TFT电阻触摸屏24C02存储器1个按键&#xff08;悔棋&#xff09; 游戏规则&#xff1a; 1.有悔棋键&…

从零开始:使用Rust语言在STM32F4处理器上实现VGA风格视频输出的完整指南

第一部分&#xff1a;介绍与背景 1. 介绍 在当今的技术世界中&#xff0c;嵌入式系统和微控制器在各种应用中都发挥着重要作用。STM32F4是其中的佼佼者&#xff0c;它是一个高性能的微控制器&#xff0c;广泛应用于各种嵌入式解决方案中。在本文中&#xff0c;我们将探讨如何使…

linux应用层静态链接和动态链接(.a .so)

1、介绍 即使一个非常简单的程序&#xff0c;也需要依赖C标准库和系统库&#xff0c;链接其实就是把其他第三方库和自己源代码生成的二进制目标文件融合在一起的过程。经过链接之后&#xff0c;那些第三方库中定义的函数就能被调用执行了。早期的一些操作系统一般使用静态链接…

ArduPilot开源代码之H743+BMI270x2+ChibiOS配置适配

ArduPilot开源代码之H743BMI270x2ChibiOS配置适配 1. 源由2. 配置适配2.1 bootloader配置2.2 flight controller配置 3. 4.3.6固件编译Step 1: 获取源代码Step 2: 准备编译环境Step 3: 复制配置文件Step 4: 编译bootloaderStep 5: 编译飞控 4. 基础配置4.1 机型配置4.2 IMU校准…

STM32复习笔记(三):串口

目录 Preface&#xff1a; &#xff08;一&#xff09;CUBEMX配置串口 &#xff08;二&#xff09;轮询方式 &#xff08;三&#xff09;中断 DMA Preface&#xff1a; 串口通信协议简单&#xff0c;因此被广泛应用&#xff1b;串口有UART&#xff08;Universal Asynchron…

stm32之HAL库操作PAJ75620

一、模块简介 手势模块PAJ7620主要利用IIC或SPI协议来实现数据的传输&#xff0c;本实验用的模块是以IIC来进行信息传输。支持电压从2.8v到3.6v, 正常可以选择3.3v。检测的距离从5到15cm, 可以检测9种手势&#xff0c;包括 右&#xff1a;编码为 0x01左&#xff1a;编码为 0x0…

MAX30102心率血氧传感器

MAX30102心率血氧传感器介绍 背景基本功能基本结构基本原理采集方法直通式采集方法反射式采集方法 血氧采集原理Beer-Lambert 定理皮肤组织模型血氧测量过程AC / DC 的计算 心率采集原理 实验结果代码走读资源链接 背景 目前&#xff0c;基本上所有的可穿戴式设备都集成了心率…

驱动LCD12864显示器

目录 1、创建lcd12864.h文件 2、创建lcd12864.c文件 3、创建bmp.h文件 4、创建font.h文件 5、创建main.c文件 6、完整代码 LCD12864是一种128x64像素分辨率的液晶显示器,通常用于嵌入式系统和电子设备中,以显示字符、图像和其他信息。它的驱动IC型号是ST7567A,这个I…

STM32F103C8T6一些集成模块(ADC)

ADC ADC&#xff0c;Analog-to-Digital Converter的缩写&#xff0c;指模/数转换器或者模数转换器 [1] 。是指将连续变化的模拟信号转换为离散的数字信号的器件。真实世界的模拟信号&#xff0c;例如温度、压力、声音或者图像等&#xff0c;需要转换成更容易储存、处理和发射的…

Error: L6218E: Undefined symbol XXX

今天使用的是STM32F103VCT6&#xff0c;由于是新板子用的是商家的例程&#xff0c;添加功能的时候出现了问题。我加了一个定时器&#xff0c;出现报错如下&#xff1a; 分析一下原因&#xff0c;就是商家没有在FWLIB文件夹里加入对应的头文件。加入之后就能解决问题。

xPortPendSVHandler任务切换流程

__asm void xPortPendSVHandler( void ) { extern uxCriticalNesting; extern pxCurrentTCB; extern vTaskSwitchContext; PRESERVE8 mrs r0, psp isb//指令同步命令&#xff0c; ldr r3, pxCurrentTCB /* Get the location of the current TCB. */ ldr r2, [r3]//r2保存…

BC1电子元件的功能、应用与未来前景 | 百能云芯

在当今数字时代&#xff0c;电子元件的发展进展迅速&#xff0c;BC1电子元件正是其中一颗闪亮的明星。BC1电子元件是一种多功能的半导体元器件&#xff0c;具有广泛的应用领域。本文将深入探讨BC1电子元件的功能、应用以及未来前景&#xff0c;帮助您更好地了解这一新兴技术。 …

第一章 STM32 CubeMX (CAN通信发送)基础篇

第一章 STM32 CubeMX &#xff08;CAN通信&#xff09;基础篇 文章目录 第一章 STM32 CubeMX &#xff08;CAN通信&#xff09;基础篇STM32中文手册简介简介stm32f1系列CAN的特点CAN连接网络示意图硬件电路CAN波特率计数 一、 STM32 CubeMX设置设置波特率工程目录结构添加CAN驱…

【FreeRTOS】【STM32】06.1 FreeRTOS的使用1(对06的补充)

前后台系统(裸机) 裸机又称前后台系统&#xff0c;在一个while中不停循环处理各个task。 中断服务函数作为前台程序 大循环while(1)作为后台程序 多任务系统 通过任务调度的方式&#xff0c;执行各个任务&#xff0c;优先级高的先执行&#xff0c;执行完了释放CPU使用权&am…

【STM32】学习笔记(OLED)

调试方式 OLED简介 硬件电路 驱动函数 OLED.H #ifndef __OLED_H #define __OLED_Hvoid OLED_Init(void); void OLED_Clear(void); void OLED_ShowChar(uint8_t Line, uint8_t Column, char Char); void OLED_ShowString(uint8_t Line, uint8_t Column, char *String); void OL…

基于STM32的简易示波器设计

疫情期间闲来无事&#xff0c;正好学习STM32F407&#xff0c;因此设计、制作了简易示波器&#xff0c;以助学习。长话短说方案如下&#xff1a; &#xff08;1&#xff09;单片机&#xff0c;选择STM32F407VET6&#xff0c;采用SWD方式仿真及程序烧写。五路独立按键和两个LED指…

【【STM32-29正点原子版本串口发送传输实验】

STM32-29正点原子版本串口发送传输实验 通过串口接收或发送一个字符 例程目的 开发板上我们接入的是实现异步通信的UART接口 USB转串口原理图 我们一步步分析 PA9是串口1 的发送引脚 PA10是串口1 的接受引脚 。因为我们现在只是用到异步收发器功能&#xff0c;所以我们现…

【STM32】学习笔记-PWR(Power Control)电源控制

PWR&#xff08;Power Control&#xff09;电源控制 PWR&#xff08;Power Control&#xff09;电源控制是一种技术或设备&#xff0c;用于控制电源的开关和输出。它通常用于电源管理和节能&#xff0c;可以通过控制电源的工作状态来延长电子设备的使用寿命&#xff0c;减少能…

【STM32】学习笔记-SPI通信

SPI通信 SPI通信&#xff08;Serial Peripheral Interface&#xff09;是一种同步的串行通信协议&#xff0c;用于在微控制器、传感器、存储器、数字信号处理器等之间进行通信。SPI通信协议需要使用4个线路进行通信&#xff1a;时钟线(SCLK)、主输入/主输出线(MISO)、主输出/主…

第三章 USB应用笔记之USB鼠标(以STM32 hal库为例)

第三章 USB应用笔记之USB鼠标&#xff08;以STM32 hal库为例&#xff09; 提示&#xff1a;写完文章后&#xff0c;目录可以自动生成&#xff0c;如何生成可参考右边的帮助文档 文章目录 第三章 USB应用笔记之USB鼠标&#xff08;以STM32 hal库为例&#xff09;前言一、STM32 U…

实战CubeMX配置CAN通讯教程,避免踩坑,cubeMX 回环模式可以但正常模式无法通信

文章目录 实战CubeMX配置CAN通讯教程&#xff0c;避免踩坑&#xff0c;cubeMX 回环模式可以但正常模式无法通信1. 先配置两个LED等的普通IO口&#xff0c;作为通信指示信号2.配置时钟单元3.配置工程文件4.配置代码生成的参数5.配置CAN通信的波特率&#xff0c;注意如果配置成50…

stm32学习笔记:中断的应用:对射式红外传感器计次旋转编码器计次

相关API介绍 EXT配置API(stm32f10x exti.h&#xff09; NVIC 配置API (misc.h) 初始化的中断的步骤 第一步&#xff1a;配置RCC时钟&#xff0c;把涉及外设的时钟都打开 第二步&#xff1a;配置GPIO&#xff0c;设置为输入模式 第三步&#xff1a;配置AFIO&#xff0…

arm实验

设置按键中断&#xff0c;按键1按下&#xff0c;LED亮&#xff0c;再次按下&#xff0c;灭 按键2按下&#xff0c;蜂鸣器叫&#xff0c;再次按下&#xff0c;停 按键3按下&#xff0c;风扇转&#xff0c;再次按下&#xff0c;停 头文件 #ifndef __CTRL_KEY_H__ #define __CT…

【STM32基础 CubeMX】按键的检测

文章目录 前言一、按键原理图分析二、cubeMX配置key GPIO三、代码分析3.1 cubemx生成的代码3.2 1个库函数 四、按键点灯示例代码总结 前言 在嵌入式系统开发中&#xff0c;按键检测是一个基础而重要的任务&#xff0c;特别是在使用STM32系列微控制器的项目中。按键通常被用于与…

【STM32 CubeMX】移植u8g2(一次成功)

文章目录 前言一、下载u8g2源文件二、复制和更改文件2.1 复制文件2.2 修改文件u8g2_d_setup文件u8g2_d_memory 三、编写oled.c和oled.h文件3.1 CubeMX配置I2C3.2 编写文件oled.holed.c 四、测试代码main函数测试代码 总结 前言 在本文中&#xff0c;我们将介绍如何在STM32上成…

基于STM32设计的智能化钻杆系统(华为云IOT)

一、项目引言 在现代石油、天然气等资源勘探和开采过程中,钻井是一项关键的工艺。为了提高钻井作业的准确性和效率,我们设计了一种基于STM32的智能化钻杆系统。该系统利用先进的控制和通信技术,实现了远程控制管子的转动和移动角度,并通过管子设备端的OLED显示屏显示接收到…

Linux系统编程(五):信号

参考引用 UNIX 环境高级编程 (第3版)黑马程序员-Linux 系统编程 1. 信号基础理论 1.1 概念和机制 概念 信号在生活中随处可见&#xff0c;如&#xff1a;古代战争中摔杯为号、现代战争中的信号弹、体育比赛中使用的信号枪他们都有共性&#xff1a;简单、不能携带大量信息、满足…

合肥中科深谷嵌入式项目实战——人工智能与机械臂(六)

订阅&#xff1a;新手可以订阅我的其他专栏。免费阶段订阅量1000 python项目实战 Python编程基础教程系列&#xff08;零基础小白搬砖逆袭) 说明&#xff1a;本专栏持续更新中&#xff0c;订阅本专栏前必读关于专栏〖Python网络爬虫实战〗转为付费专栏的订阅说明作者&#xff1…

freertos简单串口

先来完善一下FreeRTOSConfig.h这个配置文件 /*FreeRTOS V9.0.0 - Copyright (C) 2016 Real Time Engineers Ltd.All rights reservedVISIT http://www.FreeRTOS.org TO ENSURE YOU ARE USING THE LATEST VERSION.This file is part of the FreeRTOS distribution.FreeRTOS is …

基于STM32两轮自平衡小车系统设计与控制

**单片机设计介绍&#xff0c;1650【毕设课设】基于STM32两轮自平衡小车系统设计与控制 文章目录 一 概要二、功能设计设计思路 三、 软件设计原理图 五、 程序文档 六、 文章目录 一 概要 主控芯片用的是100脚的STM32F103VET6&#xff0c;陀螺仪用的是MPU6050&#xff0c;电机…

【DSP程序升级】程序升级/OTA/BootLoader开发

一.启动流程 一次BOOT&#xff0c;TI官方固化好的一次BootLoader(启动模式&#xff1a;IO口&#xff0c;IIC,UART,CAN等外设启动)c_int00C函数boot28.asmargs_mainmain 仿真器连接时 boot28.asm 所有c28x系列都有&#xff0c;初始化栈指针 跳转args_main return main 跳转…

STM32H750之FreeRTOS学习--------(三)任务的挂起与恢复

三、任务的挂起与恢复 任务挂起 void vTaskSuspend(TaskHandle_t xTaskToSuspend) //在任务中挂起xTaskToSuspend 待挂起任务的任务句柄 使用时需将宏 INCLUDE_vTaskSuspend 配置为 1 挂起后的任务无论优先级多大&#xff0c;都不再执行&#xff0c;直到任务被恢复 传入参…

stm32 - 中断

stm32 - 中断 中断向量表NVIC 嵌套中断向量控制器优先级 中断EXTI概念基本结构 中断向量表 自定义的中断服务函数&#xff0c;由编译器随机指定函数地址 stm32的中断&#xff0c;由于硬件的限制&#xff0c;只能跳到固定的地址执行程序 为了能让硬件跳转到一个不固定的中断函数…

14:STM32-----看门狗

目录 一:看门狗 1:WDG 2:独立看门狗 (IWDG) A:IWDG框图 B:IWDG_KR键寄存器 C:IWDG超时时间 3:窗口看门狗 (WWDG) A:WWDG框图 B:WWDG工作特性 C:WWDG超时时间 4:独立看门狗和窗口看门狗的区别 5:数据手册 二:案例 A:独立看门狗 1:连接图 2:步骤 3:函数介绍 3:代…

STM32F4X RNG随机数发生器

STM32F4X RNG随机数发生器 随机数的作用STM32F4X 随机数发生器RNG控制寄存器RNG状态寄存器RNG数据寄存器RNG数据步骤RNG例程 随机数的作用 随机数顾名思义就是随机产生的数字&#xff0c;这种数字最大的特点就是其不确定性&#xff0c;你不知道它下一次产生的数字是什么。随机…

TL6478(TI TMS320C6748 DPS)EVM开发板技术讲座 第三讲:USB 驱动程序安装

1. USB_DEV_BULK——USB OTG 从方式(USB BULK 管道通信) 演示 USB 从方式,通过"USB BULK"管道实现主机和评估板的数据交换。 通过 Mini OTG 转换头将评估板 OTG 接口和 PC 机 USB 接口连接,然后在 PC 机中安 装 USB 驱动程序,具体驱动程序是在产品资料"Dem…

STM32 SPI对存储芯片发送写是能命令后一直忙等待

我采用CUBE配置的SPI外设&#xff0c;对NSS引脚选择了硬件输出&#xff0c;这种方式对读取命令没有影响&#xff0c;但是对写命令有&#xff0c;当我发送写是能命令后&#xff0c;读取状态寄存器的值一直都是忙&#xff0c;我猜测这可能是硬件控制NSS引脚后&#xff0c;对于HAL…

STM32 HAL高级定时器正交编码模式案例

STM32 HAL高级定时器正交编码模式案例 &#x1f516;基于stm32F030RBT6单片机采用高级定时器1&#xff0c;编码器模式&#xff0c;测试EC11编码器。 &#x1f3ac;EC11测试效果&#xff1a; &#x1f33f;STM32定时器编码器有3种映射模式: ✨本次采用的是上面的模式3&#x…

STM32F4学习笔记读取芯片UID和MAC地址

一、简介 在嵌入式设备开发过程中有时会需要为设备设置唯一的ID用以标识设备唯一&#xff0c;比如要求同一总线上的所有设备ID不能重复&#xff0c;要求设备具体唯一的MAC地址等等。每个STM32微控制器都自带一个96位的唯一ID&#xff0c;这个ID在任何情况下都是唯一且不允许修…

FreeRTOS介绍 和 将FreeRTOS移植到STM32F103C8T6

一、FreeRTOS 介绍 什么是 FreeRTOS &#xff1f; Free即免费的&#xff0c;RTOS的全称是Real time operating system&#xff0c;中文就是实时操作系统。 注意&#xff1a;RTOS不是指某一个确定的系统&#xff0c;而是指一类操作系统。比如&#xff1a;uc/OS&#xff0c;Fr…

匿名上位机V7波形显示教程-简单能用

匿名上位机V7波形显示教程-简单能用 匿名上位机V7下位机数据格式根据匿名上位机V7的手册说明文档&#xff0c;编写对应的指令在主函数中初始化ANDmessage驱动连接匿名上位机V7 匿名上位机V7下位机数据格式 DATA区域内容&#xff1a; 举例说明DATA区域格式&#xff0c;例如上文&…

正点原子嵌入式linux驱动开发——TF-A移植

经过了之前的学习&#xff0c;除了TF-A的详细启动流程仍待更新&#xff0c;TF-A的使用和其对应的大致启动流程已经进行过了学习。但是当我们实际做产品时&#xff0c;硬件平台肯定会和ST官方的有区别&#xff0c;比如DDR容量会改变&#xff0c;自己的硬件没有使用到官方EVK开发…

STM32-固件打包部署

STM32-固件打包部署 Fang XS.1452512966qq.com STM32固件输出 工程上使用Keil开发STM32软件&#xff1b;在调试过程中&#xff0c;可直接编译下载&#xff1b;例如bootloader和APP&#xff0c;在调试时&#xff0c;可以直接下载2次&#xff1b;但是工程上&#xff0c;需要大…

stm32--独立看门狗

最近学习到独立看门狗&#xff0c;总结下笔记 1.看门狗的作用&#xff1a;防止程序异常跑飞&#xff0c;跑飞时&#xff0c;进行系统复位&#xff0c;从而不会导致代码瘫痪&#xff0c;奔溃卡死在某段程序。 2.看门狗其实是12bit递减计数器&#xff0c;&#xff0c;减到0会产…

正点原子嵌入式linux驱动开发——Linux 多点电容触摸屏

随着智能手机的发展&#xff0c;电容触摸屏也得到了飞速的发展。相比电阻触摸屏&#xff0c;电容触摸屏有很多的优势&#xff0c;比如支持多点触控、不需要按压&#xff0c;只需要轻轻触摸就有反应。ALIENTEK的三款RGB LCD屏幕都支持多点电容触摸&#xff0c;本章就以ATK7016这…

STM32F103的中断

文章目录 STM32F103的NVICSTM32F103 的中断优先级分组 STM32F103的NVIC CM3 内核支持 256 个中断&#xff0c;其中包含了 16 个内核中断和 240 个外部中断&#xff0c;并且具有 256级的可编程中断设置。 CM3中每个中断通道都具备自己的8位中断优先级控制字节&#xff0c; 但ST…

STM32G030F6P6 芯片实验 (一)

STM32G030F6P6 芯片实验 (一) 淘宝搞了几片, 没试过 G系列, 试试感觉. 先搞片小系统版: 套 STM32F103C8T6小系统板格式. 原理图: 电路板: 洗黑板去! 四天后, 收到了。 焊上组件: 看起来还不错! 32.768KHz 没找到小的, 上个大的, 有点瑕疵。 这几天忙, 周末再来试试 Hello W…

隔离模块CC10-2405SF-E,嵌入式(MCU) STM32F207IGT7、SPC5742PK1AMLQ9R规格参数

一、CC10-2405SF-E 1.3至10W DC-DC转换器 CC系列DC-DC转换器具有高密度&#xff0c;输出电压为3.3V、5V、12V和15VDC&#xff0c;额定输出功率为3W至30W。CC模块采用通孔或SMT封装&#xff0c;带或不带屏蔽金属外壳。这些器件适用于-40C至85C的扩展温度范围应用&#xff0c;具…

无线接收芯片CMT2219A/无线发射芯片CMT2119A实现无线遥控开关、插座、门铃无线方案

CMT2219A 是一款超低功耗、高性能、OOK 和 (G)FSK 接收器&#xff0c;适用于各种 300 至 960 MHz 无线应用。 它是 CMOSTEK NextGenRFTM 系列的一部分&#xff0c;该系列包括完整的发射器、接收器和收发器系列。 所有功能均可通过离线 EEPROM 编程或在线寄存器写入进行配置。 …

STM32 PWM可控制电压原理

PWM可控制电压原理 主要通过PWM 输入模式根据控制单位时间内输出的平均电压&#xff0c;以调节电压大小。而PWM输出模式通过调节占空比&#xff0c;控制平均电压大小&#xff1b; 设置TIM为PWM输出模式 第一步&#xff1a;时钟使能&#xff1a; GPIO&#xff0c;TIM; 第二步&a…

STM32F429IGT6使用CubeMX配置串口通信配置DMA

1、硬件电路 2、设置RCC&#xff0c;选择高速外部时钟HSE,时钟设置为180MHz 3、配置USART1引脚 4、生成工程配置 5、部分代码 /* USER CODE BEGIN 0 */ volatile uint8_t rx_len 0; //接收一帧数据的长度 volatile uint8_t recv_end_flag 0; //一帧数据接收完成标志 uint8…

变压器那些事

电磁感应 电磁感应效应是指当一个导体或线圈处于变化的磁场中时&#xff0c;会在导体或线圈中产生感应电动势或感应电流的现象。 根据法拉第电磁感应定律&#xff0c;当一个导体或线圈被置于变化的磁场中时&#xff0c;通过该导体或线圈的磁通量会发生变化&#xff0c;从而在…

STM32H750之FreeRTOS学习--------(二)任务的创建和删除

FreeRTOS 二、任务的创建和删除 任务创建 动态方式创建任务 BaseType_t xTaskCreate ( TaskFunction_t pxTaskCode, /* 指向任务函数的指针 */ const char * const pcName, /* 任务名字&#xff0c;最大长度configMAX_TASK_NAME_LEN */const configSTACK_…

STM32 APP跳转到Bootloader

stm32 app跳转到bootloade 【STM32】串口IAP功能的实现&#xff0c;BootLoader与App相互跳转 STM32 从APP跳入BootLoader问题

【嵌入式开发工具】STM32+Keil实现软件工程搭建与开发调试

本篇文章介绍了使用Keil来对STM32F103C8芯片进行初始工程搭建&#xff0c;以及开发与工程调试的完整过程&#xff0c;帮助读者能够在实战中体会到Keil这个开发环境的使用方法&#xff0c;了解一个嵌入式工程从无到有的过程&#xff0c;并且具备快速搭建一个全新芯片对应最小软件…

STM32 中断复习

中断 打断CPU执行正常的程序&#xff0c;转而处理紧急程序&#xff0c;然后返回原暂停的程序继续运行&#xff0c;就叫中断。 在确定时间内对相应事件作出响应&#xff0c;如&#xff1a;温度监控&#xff08;定时器中断&#xff09;。故障处理&#xff0c;检测到故障&#x…

STM32 CAN使用

STM32 CAN使用 简介各种通讯接口对比报文总线上的报文信息表示为几种固定的赖类型数据帧列表模式掩码模式配置CAN配置参数位时序 简介 控制器局域网CAN&#xff08;Controller Area Network)是由德国博世公司为汽车应用而开发的多主机局部网络&#xff0c;用于汽车的监测和控制…

SV-315C 15寸触模屏 I3工控机 网络广播主机

SV-315C 15寸触模屏 I3工控机 网络广播主机 智能公共广播系统IP网络广播主机 ※ 高档7U铝合金黑色拉丝面板&#xff0c;美观大方&#xff1b; ※ 嵌入触摸屏和数字矩阵键盘操作集成软件&#xff1b; ※ 工业级机柜式机箱设计&#xff0c;有较高的防磁、防尘、防冲击的能力…

最详细STM32,cubeMX串口发送,接收数据

这篇文章将详细介绍 串口 发送数据&#xff0c;接受数据。 文章目录 前言一、串口的基础知识二、cubeMX 配置三、自动生成代码解析四、串口发送数据函数五、使用串口收发数据点亮 led重定向函数&#xff1a; 总结 前言 实验开发板&#xff1a;STM32F103C8T6。所需软件&#xf…

STM32——HAL库中寄存器地址名称映射分析

文章目录 前言一、HAL库中寄存器地址名称映射分析二、计算寄存器地址 前言 本篇文章是为了明白HAL 库中那些结构体是怎么与寄存器地址对应起来的。部分知识参考正点原子资料。 一、HAL库中寄存器地址名称映射分析 最根本的单片机开发就是直接操作寄存器的值&#xff0c;给这…

正点原子嵌入式linux驱动开发——Linux MISC驱动

misc的意思是混合、杂项的&#xff0c;因此MISC驱动也叫做杂项驱动&#xff0c;也就是当板子上的某些外设无法进行分类的时候就可以使用MISC驱动。MISC驱动其实就是最简单的字符设备驱动&#xff0c;通常嵌套在platform总线驱动中&#xff0c;实现复杂的驱动&#xff0c;本章就…

标准库STM32F1_USART2_DMA接收发送数据源码分享直接复制能用

标准库STM32F1_USART2_DMA接收发送数据源码分享直接复制能用 STM32的DMA通道表&#xff1a; 现有一个小需求&#xff0c;使用STM32F1系列单片机做串口2的收发数据的功能&#xff0c;通过PC上的串口调试助手给单片机发一串数据&#xff0c;单片机收到数据后再给PC的串口调试助手…

【Proteus仿真】【Arduino单片机】继电器和按键

文章目录 一、功能简介二、软件设计三、实验现象联系作者 一、功能简介 本项目使用Proteus8仿真Arduino单片机控制器&#xff0c;使用蜂鸣器、按键、继电器、电机等。 主要功能&#xff1a; 系统运行后&#xff0c;K1键控制蜂鸣器发声&#xff0c;K2键控制LED开关&#xff0c;…

【Proteus仿真】【STM32单片机】智能助眠机系统设计

文章目录 一、功能简介二、软件设计三、实验现象联系作者 一、功能简介 本项目使用Proteus8仿真STM32单片机控制器&#xff0c;使用蜂鸣器闹铃模块、LCD1602显示模块、心率血氧模块、PCF8691 ADC模块、按键模块等。 主要功能&#xff1a; 系统运行后&#xff0c;LCD1602显示传…

【STM32笔记】HAL库定时器捕获配置、操作及通用函数定义

【STM32笔记】HAL库定时器捕获配置、操作及通用函数定义 文章目录 定时器捕获设置输入捕获滤波器设置输入捕获极性设置输入捕获映射关系设置输入捕获分频器 定时器配置定时器捕获函数全局变量定时器回调和定时器捕获回调频率计算 附录&#xff1a;Cortex-M架构的SysTick系统定…

stm32的ADC采样率如何通过Time定时器进行控制

ADC采样率是个跟重要的概念. 手册上说可以通过Timer定时器进行触发ADC采样. 可我这边悲剧的是, 无论怎么样. ADC都会进行采样. 而且就算是TIM停掉也是一样会进行采样. 这就让我摸不着头脑了… 我想通过定时器动态更改ADC的采样频率. 结果不随我愿… 这到底是什么问题呢? 一…

2023版 STM32实战6 输出比较(PWM)包含F407/F103方式

输出比较简介和特性 -1-只有通用/高级定时器才能输出PWM -2-占空比就是高电平所占的比例 -3-输出比较就是输出不同占空比的信号 工作方式说明 -1-1- PWM工作模式 -1-2- 有效/无效电平 有效电平可以设置为高或低电平&#xff0c;是自己配置的 周期选择与计算 周期重…

STM32F4X UCOSIII软件定时器

STM32F4X UCOSIII软件定时器 定时器概念 定时器在MCU中是一个很常用的外设&#xff0c;其作用是可以在某个事件点触发MCU中断&#xff0c;告知MCU处理事情。定时器跟生活中的闹钟很类似&#xff0c;可以设置闹钟每天什么时候响&#xff0c;还能设置响的次数&#xff0c;是响一…

如何使用Linux编写STM32程序并且烧录

前言 &#xff08;1&#xff09;如果有嵌入式企业需要招聘湖南区域日常实习生&#xff0c;任何区域的暑假Linux驱动实习岗位&#xff0c;可C站直接私聊&#xff0c;或者邮件&#xff1a;zhangyixu02gmail.com&#xff0c;此消息至2025年1月1日前均有效 &#xff08;2&#xff0…

电机应用-舵机

目录 舵机 分类 结构 工作原理 控制原理 参数 舵机基本控制实验&#xff08;MG996R舵机&#xff09; MG996R舵机规格 硬件电路 TIM4配置 测试环节 舵机 分类 按照舵机的控制电路分类&#xff1a;模拟舵机和数字舵机。 模拟舵机和数字舵机的机械结构是完全相同的。 …

8 STM32标准库函数 之 实时时钟(RTC)所有函数的介绍及使用

8 STM32标准库函数 之 实时时钟(RTC)所有函数的介绍及使用 1. 图片有格式2 文字无格式二、RTC库函数固件库函数预览2.1 函数RTC_ITConfig2.2 函数RTC_EnterConfigMode2.3 函数RTC_ExitConfigMode2.4 函数RTC_GetCounter.2.5 函数RTC_SetCounter2.6 函数RTC_SetPrescaler2.7 函…

企业级操作之STM32项目版本管理方法

在MCU开发过程中&#xff0c;有时候需要软件的迭代&#xff0c;比如从V1.9升级到V1.10&#xff0c;或者从V23.09.23升级到V23.09.24&#xff0c;我们常常通过手动改动字符串或者数组来实现这个功能&#xff0c;从现在开始&#xff0c;我们会使用Keil的内置宏__DATE__和__TIME__…

STM32F4X SDIO(九) 例程讲解-SD卡擦除、读写

STM32F4X SDIO &#xff08;九&#xff09; 例程讲解-SD卡擦除、读写 例程讲解-SD卡擦除、读写SD卡擦除CMD32:ERASE_WR_BLK_START命令发送命令响应 CMD33:ERASE_WR_BLK_END命令发送命令响应CMD38:ERASE命令响应 CMD13:SD_CMD_SEND_STATUS命令发送命令回应 SD卡读数据CMD16:SET_…

RT-Thread Studio开发 新手入门

文章目录 前言一、RT-Thread Studio 与 STM32CubeMX 下载安装二、新建工程三、点亮LED灯四、按键中断五、串口通信六、OLED显示 前言 软件开发环境&#xff1a;RT-Thread Studio、STM32CubeMX 硬件&#xff1a;STM32F407ZGT6 一、RT-Thread Studio 与 STM32CubeMX 下载安装 …

STM32 寄存器配置笔记——GPIO配置输出

一、概述 本文主要介绍GPIO 作为输出时的寄存器配置。包括时钟配置&#xff0c;输出模式配置。以STM32F10xxx系列为例&#xff0c;配置PA8、PD2端口作为输出&#xff0c;输出高/低电平。 二、配置流程 1&#xff09;GPIO外设时钟 通过查找STM32F10xxx中文参考手册得知&#xf…

STM32--系统滴答SysTick

一、SysTick是什么&#xff1f; Systick定时器是一个24bit的倒计时&#xff08;向下计数&#xff09;定时器&#xff0c;功能就是实现简单的延时。 SysTick 是一种系统定时器&#xff0c;通常在嵌入式系统中使用。它是 ARM Cortex-M 处理器的一个特殊定时器&#xff0c;用于提…

十五、信号量

1、概述 (1)前面介绍的队列(queue)可以用于传输数据&#xff1a;在任务之间、任务和中断之间。 (2)有些时候我们只需要传递状态&#xff0c;并不需要传递具体的信息&#xff0c;比如&#xff1a; 我的事做完了&#xff0c;通知一下你。卖包子了、卖包子了&#xff0c;做好了…

STM32 HAL库串口使用printf

STM32 HAL库串口使用printf 背景配置说明在usart.h中添加在usart.c中添加在工程中选中微库&#xff1a; 测试 背景 在我们使用CubeMX生成好STM32 HAL库工程之后&#xff0c;我们想使用printf函数来打印一些信息&#xff0c;配置如下&#xff1a; 配置说明 在usart.h中添加 …

野火霸天虎 STM32F407 学习笔记_1 stm32介绍;调试方法介绍

STM32入门——基于野火 F407 霸天虎课程学习 前言 博主开始探索嵌入式以来&#xff0c;其实很早就开始玩 stm32 了。但是学了一段时间之后总是感觉还是很没有头绪&#xff0c;不知道在学什么。前前后后分别尝试了江协科技、正点原子、野火霸天虎三次 stm32 的课程学习。江协科…

STM32 独立看门狗

目录 1.独立看门狗介绍 2.独立看门狗本质 3.独立看门狗框图​编辑 4.独立看门狗时钟 5.预分频寄存器&#xff08;IWDG_PR)​编辑 6.重装载寄存器&#xff08;IWDG_RLR) 7.键寄存器&#xff08;IWDG_KR) 8.独立看门狗实验和代码示例 9.独立看门狗和窗口看门狗的异同点 …

Linux_虚拟机常用目录汇总

根目录&#xff08;cd /&#xff09;&#xff1a;/ 表示根目录&#xff0c;cd和 / 之间有个空格&#xff01; 用户目录&#xff08;cd ~&#xff09;&#xff1a;~ 表示用户目录&#xff0c;也称为家目录。cd 和 ~ 之间有个空格&#xff01; 当前路径&#xff1a;执行 pwd 指令…

正点原子嵌入式linux驱动开发——Linux LCD驱动

LCD是很常用的一个外设&#xff0c;通过LCD可以显示绚丽的图片、界面等&#xff0c;提交人机交互的效率。STM32MP1提供了一个LTDC接口用于连接RGB接口的液晶屏。本章就来学校一下如何在Linux下驱动LCD屏。 LCD和LTDC简介 LCD简介 这里在当时学习stm32裸机开发的时候就学过了…

项目进展(三)-电机驱动起来了,发现了很多关键点,也遇到了一些低级错误,

一、前言 昨天电机没有驱动起来&#xff0c;头发掉一堆&#xff0c;不过今天&#xff0c;终于终于终于把电机驱动起来了&#xff01;&#xff01;&#xff01;&#xff01;&#xff0c;特别开心&#xff0c;哈哈哈哈&#xff0c;后续继续努力完善&#xff01;&#xff01;&…

【Proteus仿真】【STM32单片机】汽车倒车报警系统设计

文章目录 一、功能简介二、软件设计三、实验现象联系作者 一、功能简介 本项目使用Proteus8仿真STM32单片机控制器&#xff0c;使用LCD1602液晶、按键、继电器电机模块、DS18B20温度传感器、蜂鸣器LED、HCSR04超声波等。 主要功能&#xff1a; 系统运行后&#xff0c;LCD1602显…

正点原子嵌入式linux驱动开发——Linux内核启动流程

上一篇笔记学习了Linux内核的顶层Makefile&#xff0c;现在来看Linux内核的大致启动流程&#xff0c;Linux内核的启 动流程要比uboot复杂的多&#xff0c;涉及到的内容也更多&#xff0c;因此本章就大致的了解一Linux内核的启动流程。 链接脚本vmlinux.lds 要分析Linux启动流…

九.EtherCAT开发之STM32F405+ LAN9252 的CSR读写 (SPI Indirectly 模式)

九.EtherCAT开发之STM32F405+ LAN9252 的CSR读写 (SPI Indirectly 模式) 文章目录 九.EtherCAT开发之STM32F405+ LAN9252 的CSR读写 (SPI Indirectly 模式)9.0 LAN9252 REGISTER MAP9.0.1 Directly Addressable Registers9.0.1 Indirectly Addressable Registers9.1 LAN9252 In…

STM32的BOOT1和BOOT0查找及配置-都有BOOT1引脚的

STM32 BOOT0和BOOT1引脚查找 STM32是有BOO0和BOOT1的&#xff0c;有的芯片原理图没有标注BOOT1&#xff0c;但是可以正在手册查到BOOT0和BOOT1引脚的。 STM32 BOOT配置方式 1&#xff09;主Flash 主Flash起始地址为0x08000000&#xff0c;它指的是STM32内置Flash&#xff0c;通…

【特纳斯电子】基于物联网的指纹密码锁系统设计-实物设计

资料下载链接&#xff1a;基于物联网的指纹密码锁系统设计-实物设计 - 电子校园网 编号&#xff1a; T3732205M-SW 设计简介&#xff1a; 本设计是基于单片机的指纹密码锁&#xff0c;主要实现以下功能&#xff1a; 1、可通过密码解锁 2、可通过云平台解锁 3、可通过指纹解…

基于STM32的色彩识别与分类算法优化

基于STM32的色彩识别与分类算法优化是一项与图像处理和机器学习相关的研究任务&#xff0c;旨在实现高效的色彩识别和分类算法在STM32微控制器上的运行。本文将介绍基于STM32的色彩识别与分类算法优化的原理和实现步骤&#xff0c;并提供相应的代码示例。 1. 色彩识别与分类概…

基于Arduino的物流分拣控制系统设计

欢迎大家点赞、收藏、关注、评论啦 &#xff0c;由于篇幅有限&#xff0c;只展示了部分核心代码。 技术交流认准下方 CSDN 官方提供的联系方式 文章目录 概要 一、控制系统设计1.1系统方案1.2 系统工作原理1.3方案设计1.3.1快递检测电路方案设计1.3.2控制电路方案设计 二、硬件…

STM32 CubeMX配置USB HID功能,及安装路径

STM32CubeMX学习笔记&#xff08;46&#xff09;——USB接口使用&#xff08;HID自定义设备&#xff09; STM32CubeMX实现STM32 USBHID双向64字节通信(下位机部分) STM32 USB HID设置(STM32CubeMX) 关于keil 5安装出现Fail to set path to Software Packs.问题解决方法

STM32 Cube配置RS485 Modbus

STM32CUBUMX配置RS485 modbus STM32&#xff08;从机&#xff09;亲测可用 STM32开发&#xff08;六&#xff09;STM32F103 通信 —— RS485 Modbus通信编程详解

STM32 TIM(四)编码器接口

STM32 TIM&#xff08;四&#xff09;编码器接口 编码器接口简介 Encoder Interface 编码器接口 编码器接口可接收增量&#xff08;正交&#xff09;编码器的信号&#xff0c;根据编码器旋转产生的正交信号脉冲&#xff0c;自动控制CNT自增或自减&#xff0c;从而指示编码器的…

MDK提示:在多字节的目标代码中,没有此Unicode 字符可以映射到的字符

MDK警告提示在多字节的目标代码中&#xff0c;没有此Unicode 字符可以映射到的字符 警告提示&#xff1a; 在写MDK的工程代码时&#xff0c;发现代码中引入的头文件前方出现一些红色的叉叉&#xff0c;但是编译工程并不报错&#xff0c;功能也能正常执行的&#xff0c;只是提…

【理解ARM架构】 散列文件 | 重定位

&#x1f431;作者&#xff1a;一只大喵咪1201 &#x1f431;专栏&#xff1a;《理解ARM架构》 &#x1f525;格言&#xff1a;你只管努力&#xff0c;剩下的交给时间&#xff01; 目录 &#x1f3d3;引出重定位&#x1f3d3;散列文件&#x1f3d3;可读可写数据段重定位&#…

stm32 42步进电机 上位机示例

脉冲到底是个啥东西&#xff1f;步进电机一直说发脉冲 步进电机通过接收脉冲信号来实现精确的位置控制。脉冲是一种短暂的电信号&#xff0c;它的变化可以触发步进电机转动一定的角度或步进。步进电机控制系统会根据输入的脉冲信号来精确定位和控制步进电机的转动&#xff0c;每…

二 使用GPIO的复用功能 利用USART 实现printf()

参考这篇&#xff1a; STM32串口通信详解 1. 关于USART USART ( universal synchronous / asynchronous receiver /transmitter) 是一种串行通讯协议 , 允许设备通过串行端口进行数据传输&#xff0c; USART 能够以同步或者异步的方式进行工作&#xff0c;在实际的运用中&…

AD教程 (十七)3D模型的创建和导入

AD教程 &#xff08;十七&#xff09;3D模型的创建和导入 对于设计者来讲&#xff0c;现在3DPCB比较流行&#xff0c;3DPCB&#xff0c;除了美观之外&#xff0c;做3D的最终的一个目的&#xff0c;是为了去核对结构&#xff0c;就是我们去做了这么一个PCB之后&#xff0c;如果说…

STM32定时器实现毫秒/秒级任务框架

STM32定时器实现毫秒/秒级任务框架 CubeMX配置代码分享总结 这是一期代码思路分&#xff0c;通过定时器&#xff08;以定时器10为例&#xff09;实现规定时间间隔执行指定任务。。。。。。 CubeMX配置 关于定时器的配置&#xff0c;这里不做介绍&#xff0c;不懂的可以看&#…

STM32F4系列单片机GPIO概述和寄存器分析

第2章 STM32-GPIO口 2.1 GPIO口概述 通用输入/输出口 2.1.1 GPIO口作用 GPIO是单片机与外界进行数据交流的窗口。 2.1.2 STM32的GPIO口 在51单片机中&#xff0c;IO口&#xff0c;以数字进行分组&#xff08;P0~P3&#xff09;&#xff0c;每一组里面又有8个IO口。 在ST…

STM32USART+DMA实现不定长数据接收/发送

STM32USARTDMA实现不定长数据接收 CubeMX配置代码分享实践结果 这一期的内容是一篇代码分享&#xff0c;CubeMX配置介绍&#xff0c;关于基础的内容可以往期内容 夜深人静学32系列11——串口通信夜深人静学32系列18——DMAADC单/多通道采集STM32串口重定向/实现不定长数据接收 …

【STM32】EXTI外部中断

1 中断系统 1.1 中断简介 中断&#xff1a;在主程序运行过程中&#xff0c;出现了特定的中断触发条件&#xff08;中断源&#xff09;&#xff0c;使得CPU暂停当前正在运行的程序&#xff0c;转而去处理中断程序&#xff0c;处理完成后又返回原来被暂停的位置继续运行。 比如&a…

STM32内部温度传感器使用方法详解

STM32内部温度传感器使用方法详解 前言 STM32内部集成了一个片上温度传感器&#xff0c;可以用来测量MCU及周围的温度。测量范围&#xff1a;-40~125&#xff0c;精度1.5℃。虽然精度不高&#xff0c;但在某些应用场景下是够了的&#xff0c;相比于外部接入传感器&#xff0c…

基于PLC的采摘机械手系统(论文+源码)

1.系统设计 本次设计围绕基于PLC的采摘机械手系统进行设计&#xff0c; PLC即可编程控制器其是一种常见的微处理器&#xff0c;本次拟采用西门子是S7-200 PLC&#xff0c;一方面对整个设计从器件选型到I/O分配&#xff0c;图纸绘制等进行设计&#xff0c;另一方面还通过组态王…

【STM32】IIC(Inter Integrated Cirruit--集成电路总线)

【单片机】14-I2C通信之EEPROM-CSDN博客 一、IIC总线协议介绍 1.IIC简介 同步&#xff08;有时钟频率&#xff09;&#xff0c;半双工&#xff08;同一个时间只能接收或者发送&#xff09;&#xff0c;串行&#xff08;一个字节一个字节传输&#xff09;&#xff0c;高位读取…

【STM32】STM32学习笔记-课程简介(01)

00. 目录 文章目录 00. 目录01. 课程简介02. 硬件设备03. 软件工具04. 硬件套件4.1 面包板和跳线/飞线4.2 杜邦线和STM32最小系统板4.3 STLINK和OLED显示屏4.4 LED和按键4.5 电位器和蜂鸣器4.6 传感器和旋转编码器4.7 USB转串口和MPU60504.8 Flash闪存和电机模块4.9 SG90舵机 0…

通讯协议学习之路(实践部分):SPI开发实践

通讯协议之路主要分为两部分&#xff0c;第一部分从理论上面讲解各类协议的通讯原理以及通讯格式&#xff0c;第二部分从具体运用上讲解各类通讯协议的具体应用方法。 后续文章会同时发表在个人博客(jason1016.club)、CSDN&#xff1b;视频会发布在bilibili(UID:399951374) 本文…

ADC、TIM和DMA怎么求频率和振幅?

ADC&#xff08;Analog-to-Digital Converter&#xff09;、TIM&#xff08;Timer&#xff09;和DMA&#xff08;Direct Memory Access&#xff09;是嵌入式系统中常见的三种外设&#xff0c;它们分别用于模数转换、定时和直接存储器访问。在计算频率和振幅时&#xff0c;这三种…

计算机组成原理之概述

概述 计组主要讲的是计算机的硬件实现方式。 机器字长 比如8080处理器&#xff0c;如果想处理16位数的整数运算&#xff0c;就需要执行两次。 可见&#xff0c;机器字长会影响到数据的处理速度。 计算机硬件的基本组成 早期的冯诺依曼机 冯诺依曼提出了“存储程序”的概念&…

STM32电源名词解析

先来简单了解一下各种电源端口的命名 VCC&#xff1a;Ccircuit 表示电路的意思, 即接入电路的电压 VDD&#xff1a;Ddevice 表示器件的意思, 即器件内部的工作电压。 VSS&#xff1a;Sseries 表示公共连接的意思&#xff0c;通常指电路公共接地端电压。 GND&#xff1a;在电…

STM32 SPI

SPI介绍 SPI是Serial Pepheral interface缩写&#xff0c;串行外围设备接口。 SPI接口是一种高速的全双工同步通信总线&#xff0c;已经广泛应用在众多MCU、存储芯片、AD转换器和LCD之间。大部分STM32有3个SPI接口&#xff0c;本实验使用的是SPI1。 SPI同一时刻既能发送数据&…

AD教程 (十五)利用IPC封装创建向导快速创建封装

AD教程 &#xff08;十五&#xff09;利用IPC封装创建向导快速创建封装 安装IPC封装向导 点击头像&#xff0c;选择Extensions and Updates&#xff0c;扩展更新确保已经安装了IPC Footprint Generator IPC封装创建向导 打开IPC封装创建向导 进入PCB封装界面&#xff0c;点击…

cubemx工程更换同系列stm32芯片型号

cubemx工程更换stm32芯片型号 实验平台 软件&#xff1a;cubemx v6.10、vscode、MDK v5.27 旧型号芯片&#xff1a;stm32f103c8t6 新型号芯片&#xff1a;stm32f103ret6 步骤 1、复制stm32工程&#xff08;包含.ioc文件&#xff09;到另一个路径&#xff0c;新路径与原路径…

简易电路特性测试仪的设计(论文+源码)

1. 系统设计 系统主要由 STM32 单片机、DDS 模块、调理模块、特定放大器模块和电源模块 等组成。 DDS 模块使用低价格的 AD9833 芯片设计&#xff0c;产生调理模块需要的正弦信号。调理模块主要包 括特定放大器电路前端调理和后端调理&#xff1a;因为特定放大器进行性能…

027 - STM32学习笔记 - ADC初识(一)

026- STM32学习笔记 - ADC初识&#xff08;一&#xff09; 前几天不小心把板子掉地上了&#xff0c;液晶屏摔坏了&#xff0c;暂时先停一下液晶屏的学习&#xff0c;等新的板子来了再继续学习。 一、ADC介绍 ADC指的是Analog to Digital Converter&#xff08;模数转换器&…

STM32F407: CMSIS-DSP库的移植(基于库文件)

目录 1. 源码下载 2. DSP库源码简介 3.基于库的移植(DSP库的使用) 3.1 实验1 3.2 实验2 4. 使用V6版本的编译器进行编译 上一篇&#xff1a;STM32F407-Discovery的硬件FPU-CSDN博客 1. 源码下载 Github地址&#xff1a;GitHub - ARM-software/CMSIS_5: CMSIS Version 5…

STM32 ADC数模转换器

STM32 ADC数模转换器 ADC简介 ADC&#xff08;Analog-Digital Converter&#xff09;模拟-数字转换器 ADC可以将引脚上连续变化的模拟电压转换为内存中存储的数字变量&#xff0c;建立模拟电路到数字电路的桥梁 STM32主要是数字电路&#xff0c;数字电路只有高低电平&#xf…

STM32F4X SDIO(二) SDIO协议

上一节简单介绍了SD卡的分类&#xff0c;本节将会介绍SD卡的通信协议&#xff0c;也就是SDIO协议。 STM32F4X SDIO&#xff08;二&#xff09;SDIO协议 SD 卡管脚和寄存器SD卡管脚分布SD卡通信协议SD卡寄存器SD卡内部结构 SDIO总线SDIO总线拓扑SDIO总线协议SDIO协议的基本结构…

【STM32】---存储器,电源核时钟体系

一、STM32的存储器映像 1 文中的缩写 2 系统构架&#xff08;原理图&#xff09; 3. 存储器映像 &#xff08;1&#xff09;STM32是32位CPU&#xff0c;数据总线是32位的 &#xff08;2&#xff09;STM232的地址总线是32位的。&#xff08;其实地址总线是32位不是由数据总线是…

STM32F4X SDIO(一) SD卡介绍

STM32F4X SDIO&#xff08;一&#xff09; SD卡介绍 SD卡分类外观分类容量分类传输速度分类 在之前的章节中&#xff0c;讲过有关嵌入式的存储设备&#xff0c;有用I2C驱动的EEPROM、SPI驱动的FLASH和MCU内部的FLASH&#xff0c;这类存储设备的优点是操作简单&#xff0c;但是缺…

STM32F4X SDIO(三) SD卡命令与响应

STM32F4X SDIO&#xff08;三&#xff09;SD卡命令与响应 SD卡命令命令类型通用命令与特殊命令命令的格式SD卡命令列表通用命令基础命令读操作命令写操作命令写保护命令擦除命令加锁命令特定应用命令 特殊命令 SD卡响应列表短响应R1响应(正常响应命令)R1b响应R3响应(OCR 寄存器…

RAM和ROM的区别(详解)

RAM和ROM的区别 RAM&#xff08;随机存取存储器&#xff09;和ROM&#xff08;只读存储器&#xff09;都是计算机中常见的存储器类型&#xff0c;它们在计算机系统中有不同的作用和特性。 RAM&#xff08;随机存取存储器&#xff09;&#xff1a; 作用&#xff1a; 用于临时存储…

STM32独立看门狗(IWDG)溢出时间计算

什么是IWDG&#xff1f; 独立看门狗(IWDG)由专用的低速时钟(LSI)驱动&#xff0c;即使主时钟发生故障它也仍然有效。 IWDG最适合应用于那些需要看门狗作为一个在主程序之外&#xff0c;能够完全独立工作&#xff0c;并且对时间精度要求较低的场合。 从上图我们可以看出IWDG的时…

【STM32】GPIO控制LED(寄存器版)

在开始之前记得先准备好环境&#xff1a; STM32F103核心板下载教程.pdf 林何/STM32F103C8 - 码云 - 开源中国 (gitee.com) 一、STM32的GPIO模块数据手册详解 每个GPIO端口对应16个引脚&#xff0c;例GPIOA&#xff08;PA0~PA15&#xff09;内核cpu就可以通过APB2总线对寄存器…

MFC Windows 程序设计[328]之复选框按钮组实例(附源码)

MFC Windows 程序设计[328]之复选框按钮组实例 程序之美前言主体运行效果核心代码逻辑分析结束语程序之美 前言 MFC是微软公司提供的一个类库(class libraries),以C++类的形式封装了Windows API,并且包含一个应用程序框架,以减少应用程序开发人员的工作量。其中包含大量…

【STM32】时钟设置函数(寄存器版)

一、STM32时钟设置函数移植 1.时钟模块回顾 一个疑问 前面代码并没有设置时钟为什么可以直接使用。 2.时钟树 3.时钟树分析 1.内部晶振&#xff08;HSI&#xff09; 内部晶振不稳定&#xff0c;当我们上电后&#xff0c;会自动产生振动&#xff0c;自动产生时钟&#xff0c;…

2023版 STM32实战12 IIC总线读写AT24C02

IIC简述 一个多主从的串行总线&#xff0c;又叫I2C&#xff0c;是由飞利浦公司发明的通讯总线 IIC特点 -1- 串行(逐bit传输) -2- 同步(共用时钟线) -3- 半双工(收发不同进行) -4- 总线上的任何设备都可以是主机 开发使用习惯和理解 -1- 通过地址寻址 -2- 数据线的…

fatfs对于exFAT的使用

f_mkfs的介绍 f_mkfs是FatFs库中的一个函数&#xff0c;用于在指定的磁盘上执行格式化操作。它可以用于创建新的文件系统或重新格式化已有的文件系统。 函数原型如下&#xff1a; FRESULT f_mkfs (const TCHAR* path, // 磁盘路径BYTE opt, // 格式化选项DWORD a…

STM32F103的GPIO

文章目录 STM32F103的地址STM32F103的GPIOA的地址推算 STM32F103的地址 GPIO 都是挂载在 APB2 总线之上。 STM32F103的GPIOA的地址推算 &#xff08;出自STM32F103开发指南P127&#xff09; GPIOA 的 7 个寄存器都是 32 位的&#xff0c;所以每个寄存器占有 4个地址&#x…

STM32F10xx 存储器和总线架构

一、系统架构 在小容量、中容量和大容量产品 中&#xff0c;主系统由以下部分构成&#xff1a; 四个驱动单元 &#xff1a; Cotex-M3内核、DCode总线&#xff08;D-bus&#xff09;和系统总线&#xff08;S-bus&#xff09; 通用DMA1和通用DMA2 四个被动单元 内部SRAM 内部…

FLASH 模拟 EEPROM 实验

STM32 本身没有自带 EEPROM&#xff0c;但是 STM32 具有 IAP&#xff08;在应用编程&#xff09;功能&#xff0c;所以我们可 以把它的 FLASH 当成 EEPROM 来使用。本章&#xff0c;我们将利用 STM32 内部的 FLASH 来实现NOR FLASH(EEPROM)(实验类似的效果&#xff0c;不过这次…

正点原子嵌入式linux驱动开发——Linux 块设备驱动

经过之前这些笔记的学习&#xff0c;都是字符设备驱动&#xff0c;本章来学习一下块设备驱动框架&#xff0c;块设备驱动是Linux三大驱动类型之一。块设备驱动要远比字符设备驱动复杂得多&#xff0c;不同类型的存储设备又对应不同的驱动子系统&#xff0c;本章重点学习一下块设…

freertos多任务

以前我们都是一个任务&#xff0c;假设现在我们创建三个任务,项目工程在上一节网盘 #include "stm32f10x.h" // Device header #include "freertos.h" #include "task.h" #include "usart.h"TaskHandle_t myTaskHan…

STM32G0+EMW3080+阿里云飞燕平台实现单片机WiFi智能联网功能(三)STM32G0控制EMW3080实现IoT功能

项目描述&#xff1a;该系列记录了STM32G0EMW3080实现单片机智能联网功能项目的从零开始一步步的实现过程&#xff1b;硬件环境&#xff1a;单片机为STM32G030C8T6&#xff1b;物联网模块为EMW3080V2-P&#xff1b;网联网模块的开发板为MXKit开发套件&#xff0c;具体型号为XCH…

LCD英文字模库(16x8)模拟测试程序

字模 字模&#xff0c;就是把文字符号转换为LCD能识别的像素点阵信息。 电子发烧友可能都熟悉字模的用途。就是调用者通过向LCD模块发送字模数据&#xff0c;LCD根据字模数据在LCD面板上相应的像素描绘出图形或文字。 现在&#xff0c;大部分的LCD都内置了字模库&#xff0c…

搭建成功simulink-stm32硬件在环开发环境

本次实验所使用的软件版本和硬件平台参数如下&#xff1a; Matlab版本: 2021b STM32硬件平台&#xff1a;YF_STM32_Alpha 1R4(参考自STM32 Nucleo F103RB官方开发板) YF_STM32_Alpha开发板 STM32 Nucleo F103RB 开发板 2.1 STM32硬件支持包下载 读者朋友平时使用的是和谐版M…

蓝桥杯物联网_STM32L071_2_继电器控制

CubeMX配置&#xff1a; Function.c及Function.h&#xff1a; #include "Function.h" #include "gpio.h" void Function_LD5_ON(void){HAL_GPIO_WritePin(LD5_GPIO_Port, LD5_Pin, GPIO_PIN_RESET); }void Function_LD5_OFF(void){HAL_GPIO_WritePin(LD5_…

028 - STM32学习笔记 - ADC结构体学习(二)

028 - STM32学习笔记 - 结构体学习&#xff08;二&#xff09; 上节对ADC基础知识进行了学习&#xff0c;这节在了解一下ADC相关的结构体。 一、ADC初始化结构体 在标准库函数中基本上对于外设都有一个初始化结构体xx_InitTypeDef&#xff08;其中xx为外设名&#xff0c;例如…

RT-Thread 线程间同步【信号量、互斥量、事件集】

线程间同步 一、信号量1. 创建信号量2. 获取信号量3. 释放信号量4. 删除信号量5. 代码示例 二、互斥量1. 创建互斥量2. 获取互斥量3. 释放互斥量4. 删除互斥量5. 代码示例 三、事件集1. 创建事件集2. 发送事件3. 接收事件4. 删除事件集5. 代码示例 简单来说&#xff0c;同步就是…

DSP介绍及CCS

文章目录 CCS版本编译器CCS使用注意严禁中文 CCS的基本操作新建工程导入现有工程调整字体的大小工程界面恢复标签的使用 仿真盒小虫子进入在线Debug 芯片TMS320F28355基本介绍特性 DSP中特殊指令dsp指令中的EALLOW EDIS CCS TI官网 版本 CCS版本&#xff1a; CCS8.3.1.0004_…

STM32 X-CUBE-AI:Pytorch模型部署全流程

文章目录 概要版本&#xff1a;参考资料STM32CUBEAI安装CUBEAI模型支持LSTM模型转换注意事项模型转换模型应用1 错误类型及代码2 模型创建和初始化3 获取输入输出数据变量4 获取模型前馈输出模型应用小结 小结 概要 STM32 CUBE MX扩展包&#xff1a;X-CUBE-AI部署流程&#xf…

基于单片机的智能考勤机(论文+源码)

1.系统设计 本课题为基于单片机的智能考勤机&#xff0c;其整个系统由STC89C52单片机&#xff0c;RC522 RFID模块&#xff0c;LCD液晶&#xff0c;按键等构成&#xff0c;在功能上&#xff0c;本系统智能考勤机主要应用在校园生活中&#xff0c;用户可以通过按键注销/注销相应的…

RT-Thread 线程间通信【邮箱、消息队列、信号】

线程间通信 一、邮箱1. 创建邮箱2. 发送邮件3. 接收邮件4. 删除邮箱5. 代码示例 二、消息队列1. 创建消息队列2. 发送消息3. 接收消息4. 删除消息队列5. 代码示例 三、信号1. 安装信号2. 阻塞信号3. 解除信号阻塞4. 发送信号5. 等待信号6. 代码示例 一、邮箱 RT-Thread 操作系…

互斥量保护资源

一、概念 在多数情况下&#xff0c;互斥型信号量和二值型信号量非常相似&#xff0c;但是从功能上二值型信号量用于同步&#xff0c; 而互斥型信号量用于资源保护。 互斥型信号量和二值型信号量还有一个最大的区别&#xff0c;互斥型信号量可以有效解决优先级反转现 象。 …

电机应用-控制系统、PID

控制系统 对生产中某些关键性参数进行自动控制&#xff0c;使它们在受到外界干扰&#xff08;扰动&#xff09;的影响而偏离正常状态时&#xff0c;能够被自动地调节而回到工艺所要求地数值范围内。 自动控制系统分为&#xff1a;开环、闭环。 闭环自动控制系统原理 闭环控制是…

STM32 Nucleo-64 boards 外设资源引脚对应关系图

STM32 Nucleo-64 boards 外设资源引脚对应关系图 1. STM32 NUCLEO-F103RB1.1 串口对应关系图1.2 I2C对应关系图【参考博文】1. STM32 NUCLEO-F103RB 1.1 串口对应关系图 1.2 I2C对应关系图 注意:STM32 NUCLEO-F103RB

STM32---时钟树

写在前面&#xff1a;一个 MCU 越复杂&#xff0c;时钟系统也会相应地变得复杂&#xff0c;如 STM32F1 的时钟系统比较复杂&#xff0c;不像简单的 51 单片机一个系统时钟就 可以解决一切。对于 STM32F1 系列的芯片&#xff0c;其有多个时钟源&#xff0c;构成了一个庞大的是时…

电机应用-直流有刷电机多环控制实现

目录 直流有刷电机多环控制实现 硬件设计 直流电机三环&#xff08;速度环、电流环、位置环&#xff09;串级PID控制-位置式PID 编程要点 配置ADC可读取电流值 配置基本定时器6产生定时中断读取当前电路中驱动电机的电流值并执行PID运算 配置定时器1输出PWM控制电机 配…

【STM32】TIM定时器输出比较

1 输出比较 1.1 输出比较简介 OC&#xff08;Output Compare&#xff09;输出比较&#xff1b;IC&#xff08;Input Capture&#xff09;输入捕获&#xff1b;CC&#xff08;Capture/Compare&#xff09;输入捕获和输出比较的单元输出比较可以通过比较CNT与CCR寄存器值&#…

029 - STM32学习笔记 - ADC(三) 独立模式单通道DMA采集

029 - STM32学习笔记 - 单通道DMA采集&#xff08;三&#xff09; 单通道ADC采集在上节中学习完了&#xff0c;这节在上节的内容基础上&#xff0c;学习单通道DMA采集。程序代码以上节的为基础&#xff0c;需要删除NVIC配置函数、中段服务子程序、R_ADC_Mode_Config()函数中使能…

STM32F103C8T6第7天:

1. 智能小车&#xff1a;让小车动起来&#xff08;360.64&#xff09; 硬件接线 B-2A – PB0B-1A – PB1A-1B – PB2A-1A – PB10其余接线参考上官一号小车项目。 cubemx配置 代码&#xff08;28.smartCar_project1/MDK-ARM&#xff09; 2. 智能小车&#xff1a;串口控制小…

Windows、VMware问题集合

Windows、VMware问题集合 一. Windows11安装VMware17提升虚拟机性能1. 桌面右击图标点击属性——>兼容性&#xff0c;找到“以管理员身份运行此程序”勾选&#xff0c;最后点击确定即可。2. 关闭win11的内核隔离功能。 二. VMware虚拟机报错&#xff08;虚拟化性能计数器需要…

Keil Vision5—新建工程project

注意&#xff1a;创建的工程目录必须是纯英文目录 目录 1.开始配置 2.为该路径下新建个文件夹 3.选择器件 4.工程配置 4.右击魔术棒&#xff0c;设置参数 ​编辑 &#xff08;1&#xff09;target配置 &#xff08;2&#xff09;output配置 &#xff08;3&#xff09;c…

STM32-SPI协议详解及CubeMX+HAL函数配置分析

1 SPI协议 SPI(Serial Peripheral interface)串行外围设备接口是同步全双工的通信总线,在芯片的管脚上只占用四根线。 1.1 物理层 SS/NSS/CS:从设备选择信号线(片选信号线)。由主设备控制,选择指定的从设备。 当主机要选择从设备时,把该从设备的SS信号线设置为低电平…

基于Zigbee的教室智能环境监控系统(论文+源码)

1.系统设计 此次研究的目的是设计一款基于Zigbee的教室智能环境监控系统&#xff0c;其系统框图如下图2.1。在此拟由CC2530单片机作为核心进行设计。同时结合多种传感器&#xff0c;如温度传感器&#xff0c;用来检测环境的温度。光照传感器&#xff0c;用来通过检测光照强度&…

【工具使用】Keil工具的使用——常用配置介绍

Keil调试具体教程学习 目录 ​​​​​​​Keil调试具体教程学习 常用功能总结 &#xff08;2&#xff09;目标设置&#xff08;Target&#xff09; ①设置晶振频率 ②跨模块优化选项 ③微库选项 &#xff08;3&#xff09;输出设置&#xff08;Output&#xff09; ①…

FreeRTOS学习之路,以STM32F103C8T6为实验MCU(2-4:内核控制与时间管理函数)

学习之路主要为FreeRTOS操作系统在STM32F103&#xff08;STM32F103C8T6&#xff09;上的运用&#xff0c;采用的是标准库编程的方式&#xff0c;使用的IDE为KEIL5。 注意&#xff01;&#xff01;&#xff01;本学习之路可以通过购买STM32最小系统板以及部分配件的方式进行学习…

STM32 CAN协议讲解以及代码

STM32 CAN 文章目录 STM32 CAN前言一、CAN外设1.主控制寄存器CAN_MCR2.位时序寄存器CAN_BTR3.CAN的发送邮箱4.CAN的接收FIFO5.验收筛选器 二、代码配置1.初始化2.发送数据3.接收数据4.main.c 前言 前面学习了CAN的一些理论知识&#xff0c;他在我们的STM32里面是怎么用的呢 前…

STM32 配置中断常用库函数

单片机学习 目录 一、配置AFIO相关库函数 1.1函数GPIO_AFIODeInit 1.2函数GPIO_EventOutputConfig 1.3函数GPIO_EventOutputCmd 1.4函数GPIO_EXTILineConfig 二、配置EXTI相关库函数 2.1函数EXTI_DeInit 2.2函数EXTI_Init 2.3函数EXTI_StructInit 2.4函数 EXTI_Gener…

在 STM32 上实现温度补偿和校正

本文介绍了如何在 STM32 微控制器上实现温度补偿和校正&#xff0c;以提高温度传感器的测量精度。首先&#xff0c;我们将简要介绍温度补偿和校正的原理和目的。然后&#xff0c;我们将详细讨论在 STM32 上实现温度补偿和校正的步骤和方法。同时&#xff0c;提供了一个简单的示…

Day02嵌入式---按键控灯

一、简单介绍 按键控制灯开关是一种常见的嵌入式系统示例项目&#xff0c;它通常用于演示嵌入式系统的基本控制能力。该项目由一个或多个LED和一个按键组成。通过按下按键&#xff0c;可以控制LED的开关状态&#xff0c;从而实现灯的亮灭控制。 二、查看功能手册 2.1 查看硬件…

oled显示器程序(IIC)从stm32f103移植到stm32f429出现bug不显示-解决移植失败问题

出现问题处&#xff1a; 刚开始更换了这两行代码&#xff0c;然后更换位置后&#xff0c;oled正常显示&#xff0c;如下为正确顺序 I2C_Configuration();//配置CPU的硬件I2COLED_Init();//OLED初始化 在这段代码中&#xff0c;I2C_Configuration() 函数用于配置CPU的硬件 I2C…

有符号数是如何判断正负符号位的?

文章目录 有符号数是如何判断正负符号位的&#xff1f; 运行结果&#xff1a; 有符号数是如何判断正负符号位的&#xff1f; #include<stdio.h> int main() {int input_data 0;printf("Please input the data ! \n");scanf("%d",&input_data);…

STM32F407的看门狗

文章目录 看门狗时钟两种看门狗IWDG结构图作用 寄存器IWDG_KR键值寄存器IWDG_PR预分频寄存器2-0 PR预分频器系数 IWDG_RLR重装载寄存器IWDG_SR状态寄存器1RVU 重载值更新0 PVU 预分频值更新注意 写保护超时时间使用步骤取消寄存器 写保护设置预分频系数和重装载值看门狗溢出时间…

【STM32】

STM32 1 CMSIS1.1 概述1.2 CMSIS 应用程序文件描述 2 库2.1 简介2.2 标准外设库&#xff08;standrd Peripheral Libraries&#xff09;2.3 HAL 库2.3.1 目录结构2.3.2 HAL库API函数和变量的命名规则2.3.3 HAL库对寄存器位操作的相关宏定义2.3.4 HAL库回调函数2.3.5 HAL使用注意…

STM32--时钟树

一、什么是时钟&#xff1f; 时钟是单片机的脉搏&#xff0c;是系统工作的同步节拍。单片机上至CPU&#xff0c;下至总线外设&#xff0c;它们工作时序的配合&#xff0c;都需要一个同步的时钟信号来统一指挥。时钟信号是周期性的脉冲信号。 二、什么是时钟树&#xff1f; S…

STM32入门学习(一):STM32 简介与软件安装

参考引用 STM32 入门教程-江科协 1. STM32 简介 1.1 STM32 套件介绍 1.2 STM32 简介 STM32 是 ST 公司基于 ARM Cortex-M 内核开发的 32 位微控制器 应用&#xff1a;嵌入式领域&#xff0c;如智能车、无人机、机器人、无线通信、物联网、工业控制、娱乐电子产品等 1.3 ARM …

FreeRTOS深入教程(中断管理)

文章目录 前言一、为什么要为中断设计一套API二、两套函数区别对比三、两类中断四、FreeRTOS中SYSTICK和PendSV中断的作用总结 前言 本篇文章来分析FreeRTOS中的中断&#xff0c;中断在FreeRTOS中也是非常重要的&#xff0c;那么这篇文章将带大家来学习一下FreeRTOS中的中断处…

WIFI模块(esp-01s)获取网络时间代码实现

目录 前言&#xff1a; 一、串口程序的编写 1、串口重定向 2、回调函数 二、WiFi模块发送AT指令 esp01s.c esp01s.h 三、数据处理 初始化 1、cjson的使用 2、字符串提取有用信息 3、转成标准时间 4.任务处理 前言&#xff1a; 前面讲解了使用AT指令获取网络时间与…

STM32F4 GPIO端口二极管作用——二极管钳位作用

如上图所示&#xff0c;有两个保护二极管&#xff0c;用于保护内部电路&#xff0c;防止I\O引脚外部过高或者过低的电压输入时造成内部电路损坏。 具体来讲&#xff1a;当引脚输入电压高于VDD时&#xff0c;上面的二极管导通&#xff0c;输入点电压被钳位到约VDD0.7V&#xff…

探索STM32系列微控制器的特性和性能

STM32系列微控制器是意法半导体&#xff08;STMicroelectronics&#xff09;公司开发的一款强大的嵌入式微控制器系列。该系列微控制器以其丰富的特性和卓越的性能&#xff0c;成为了嵌入式系统开发领域的首选。本文将深入探索STM32系列微控制器的特性和性能&#xff0c;并结合…

超详细!必看!!STM32--时钟树原理

一、什么是时钟&#xff1f; 时钟是单片机的脉搏&#xff0c;是系统工作的同步节拍。单片机上至CPU&#xff0c;下至总线外设&#xff0c;它们工作时序的配合&#xff0c;都需要一个同步的时钟信号来统一指挥。时钟信号是周期性的脉冲信号。 二、什么是时钟树&#xff1f; S…

基于RFbeam的V-LD1-60GHz毫米波雷达传感器数据获取(通过UART串口来控制模块)

基于RFbeam的V-LD1-60GHz毫米波雷达传感器数据获取&#xff08;通过UART串口来控制模块&#xff09; 文章目录 V-LD1命令发送消息回复通信示例雷达数据获取宏定义通信代码运行效果附录&#xff1a;压缩字符串、大小端格式转换压缩字符串浮点数压缩Packed-ASCII字符串 大小端转…

stm32f10系列--管脚被设置为输入模式时,对其进行输出操作将影响其输入功能

有时程序载入硬件&#xff0c;没有按代码执行&#xff0c;可能由于此。 1.欲完成功能&#xff1a; 结构&#xff1a;PA0管脚可以输出高低电平&#xff0c;控制LED灯的亮灭&#xff1b;PA1管脚作为输入&#xff0c;连接一头已经接地的switch开关。 逻辑&#xff1a;当开关闭合…

Linux_VMware 软件安装与虚拟机

从这里开始&#xff0c;我们就踏上了学习 Linux 的路程。长路漫漫亦灿灿&#xff0c;祝我也祝所有看到这篇文章的朋友&#xff01;&#xff01;&#xff01; 参考书目&#xff1a;【正点原子】I.MX6U嵌入式Linux驱动开发指南V1.81 / ARM 裸机与嵌入式 Linux 驱动…

基于plc的柔性制造系统供料检测单元的设计(论文+源码)

1.系统设计 本次基于plc的柔性制造系统供料检测单元的设计&#xff0c;其系统结构框图如图2.1所示&#xff0c;系统采用西门子S7-200 型号的PLC作为主控制器&#xff0c;并结合温度传感器&#xff0c;重量传感器&#xff0c;限位开关&#xff0c;变频器等器件来构成整个系统&a…

【STM32】DMA(直接存储器访问)

一、DMA本质 在ADC中使用FIFO&#xff08;先进先出&#xff09;&#xff0c;当FIFO快满的时候&#xff0c;产生一个中断。在中断的时候将数据传输到SRAM&#xff0c;但是此时还是需要CPU的参与&#xff0c;但是CPU就不会一直在等待。【但是这个方法还是不能完全解决问题】 此时…

GD32替换STM32使用HAL库开发问题

GD32HAL库开发问题 1can初始化进入error handle2发送邮箱不能按照填写顺序发送3 GD32修改代码被stm32cudemx覆盖问题 1can初始化进入error handle HAL库的HAL_CAN_Init中&#xff0c;hcan->Instance->MSR寄存器无法清零&#xff0c;STM32先清零&#xff0c;再退出睡眠模…

基于MS16F3211芯片的触摸控制灯的状态变化和亮度控制总结版(11.22)

1.任务需求 基于MS16F3211芯片实现功能一个按键通过长按可以控制当前处于亮状态的灯的亮度&#xff0c;当灯从最亮达到最暗时&#xff0c;所用时为3s。现有三盏颜色分别为红绿蓝的灯&#xff0c;在处于关机状态时红灯亮&#xff0c;处于开机状态时红灯灭。点按第一次仅绿灯亮&…

基于单片机的大棚温湿度检测系统(论文+源码)

1. 系统设计 本课题主要开发一个大棚温湿度检测系统、其功能要求如下&#xff1a; 1.实现大棚温室环境的空气中的温湿度检测&#xff1b; 2.当检测到的土壤湿度低于阈值时&#xff0c;模拟水泵进行浇水&#xff0c;湿度太高则进行干燥&#xff1b; 4. 当检测到环境的温度太…

STM32 ADC转换器、串口输出

提示&#xff1a;文章写完后&#xff0c;目录可以自动生成&#xff0c;如何生成可参考右边的帮助文档 文章目录 前言一、ADC是什么&#xff1f;二、STM32的ADC2.1 认识STM32 ADC2.2转换方式2.3 为什么要校准&#xff1f;2.4 采样时间计算2.5 触发方式2.6 多通道采集解决方案2.7…

STM32 基础知识

1. STM32微控制器的核心特性是什么&#xff1f; STM32微控制器是基于ARM Cortex-M 处理器 &#xff0c; 它具有高性能处理能力和低功耗的特性 &#xff0c; 适合用于嵌入式系统STM32系列具有多种多样的内存大小和丰富的内置外设选项&#xff0c;包括 多通道ADC &#xff0c; 定…

stm32GPIO点亮led的小发现

偶然发现点亮led的小困惑&#xff0c;现在解决了。我们知道stm32中&#xff0c;GPIO端口的内部一般是接高电平&#xff08;3.3v&#xff09;&#xff0c;其外部就是我们肉眼所看到的PA1等标号。 1、写法一 led的正极接3.3v,这样当A1引脚输出低电平0&#xff08;即GPIO_ResetBi…

stm32 can滤波器接收指定的ID

CAN 文章目录 CAN一、配置1、对扩展数据帧进行过滤:(只接收扩展数据帧)CAN_FilterIdHigh&#xff1a;CAN_FilterIdLow&#xff1a;2、对扩展远程帧过滤:(只接收扩展远程帧)3、对标准远程帧过滤:(只接收标准远程帧)4、对标准数据帧过滤:(只接收标准数据帧)5、对扩展帧进行过滤:(…

STM32CubeIDE(CUBE-MX hal库)----定时器

系列文章目录 STM32CubeIDE(CUBE-MX hal库)----初尝点亮小灯 STM32CubeIDE(CUBE-MX hal库)----按键控制 STM32CubeIDE(CUBE-MX hal库)----串口通信 文章目录 系列文章目录前言一、定时器二、使用步骤三、HAL库实验代码三、标准库代码 前言 STM32定时器是一种多功能外设&#…

STM32F407-14.3.7-01PWM输入模式

PWM 输入模式 此模式是输入捕获模式的一个特例。其实现步骤与输入捕获模式基本相同&#xff0c;仅存在以下不同之处&#xff1a; 例如&#xff0c;可通过以下步骤对应用于 TI1① 的 PWM 的周期&#xff08;位于 TIMx_CCR1⑨ 寄存器中&#xff09;和占空 比&#xff08;位于 …

STM32用一个定时器执行多任务写法

文章目录 main.c #include "stm32f4xx.h"uint32_t Power_check_times; //电量检测周期 uint32_t RFID_Init_Check_times; //RFID检测周期int main() {Timer_Init(167,999);Power_check_times gt_get() 200;//一开始最少是200msgt_get()的时间&#xff0c;所…

STM32 外部中断配置与中断函数设计

单片机学习 目录 文章目录 一、外部中断配置步骤 1.1配置RCC 1.2配置GPIO 1.3配置AFIO 1.4配置EXTI 1.5配置NVIC 二、中断函数设计 总结 一、外部中断配置步骤 第一步&#xff1a;配置RCC&#xff0c;把涉及外设的时钟打开。第二步&#xff1a;配置GPIO&#xff0c;选择…

【紫光同创PCIE教程】——使用官方驱动在Windows下进行DMA读写操作/PIO读写操作

本原创教程由深圳市小眼睛科技有限公司创作&#xff0c;版权归本公司所有&#xff0c;如需转载&#xff0c;需授权并注明出处&#xff08;www.meyesemi.com) 紫光同创官方主推的是在linux系统下开发驱动和上层软件&#xff0c;相应地&#xff0c;官方提供了在linux一个基于GTK2…

STM32/GD32_分散加载

Q&#xff1a;如何将一个变量、某个源文件的函数在编译阶段就存储在用户指定的区域&#xff1f; KEIL环境&#xff1a;.map后缀文件、.sct后缀文件 IAR环境&#xff1a;.map后缀文件、.icf后缀文件 【map文件】 对固件里面的变量、函数、常量等元素的存储空间进行分配的说明…

远离危险的购买手机的渠道

今年上半年从淘宝特价版上面的官方旗舰店买了一个oppo手机&#xff0c;第一次买我打算不要了&#xff0c;所以就退了回去&#xff0c;过了几天我又觉得还是买一个比较好&#xff0c;所以就又买了一个&#xff0c;型号我绝不说了700-1000z这个价位的手机带个高通骁龙芯片的&…

7、单片机与W25Q128(FLASH)的通讯(SPI)实验(STM32F407)

SPI接口简介 SPI 是英语Serial Peripheral interface的缩写&#xff0c;顾名思义就是串行外围设备接口。是Motorola首先在其MC68HCXX系列处理器上定义的。 SPI&#xff0c;是一种高速的&#xff0c;全双工&#xff0c;同步的通信总线&#xff0c;并且在芯片的管脚上只占用四根…

STM32F103C8T6_PWM引脚

可以看到&#xff1a;一共可以产生4 x 416路PWM信号&#xff1a;每个TIMER4路PWM&#xff0c; PA0,PA1,PA2,PA3,PA8,PA10,PA11; PA共7个 PB0,PB1,PB6,PB7,PB8,PB9,PB14; PB共7个

100篇带你入门——嵌入式系统中的程序调试方法

好久不见&#xff0c;最近小猿有点忙&#xff0c;才有时间给大家写文章。今天给大家讲一下在我们单片机开发都用哪些调试工具和调试方法&#xff0c;内容不完善的话&#xff0c;欢迎大家一起交流。 当涉及到嵌入式系统的程序调试时&#xff0c;选择正确的工具和方法是确保系统功…

STM32入门

写在前面&#xff1a;本文是基于哔哩哔哩江协科技的STM32入门教程-2023版 细致讲解 中文字幕学习时写的笔记&#xff0c;复习查阅方便&#xff0c;如有侵权&#xff0c;联系删除。 另外本人也是初学者&#xff0c;有很多理解不透彻的或者错误的理解&#xff0c;希望大家多多批评…

【STM32】STM32学习笔记-STM32简介(02)

00. 目录 文章目录 00. 目录01. STM32简介1.1 STM32是什么1.2 STM32应用领域1.3 STM32命名规则1.4 STM32选型 02. ARM简介2.1 ARM是什么2.2 ARM系列 03. STM32开发板3.1 MCU简介3.2 STM32开发板3.3 STM32硬件资源 04. STM32系统架构05. STM32引脚定义06. STM32启动配置07. STM3…

STM32Cube高效开发教程<基础篇>(九)----实时时钟

声明:本人水平有限,博客可能存在部分错误的地方,请广大读者谅解并向本人反馈错误。    本专栏博客参考《STM32Cube高效开发教程(基础篇)》,有意向的读者可以购买正版书籍辅助学习,本书籍由王维波老师、鄢志丹老师、王钊老师倾力打造,书籍内容干货满满。 一、 功能概述…

STlink下载程序不能复位的说明

STLINK在MDK5.28或其他版本中下载程序后不能复位&#xff0c;需要手动按复位键&#xff0c;MCU 才正常运行。 首先判断是不是该MDK版本的问题&#xff0c;按照以下进行 点击魔法棒 点击Debug&#xff0c;Settings 勾选Reset and Run 如果还是不行&#xff0c;取消Enable…

STM32使用多路PWM注意事项

这是使用CubeMX自动产生的代码&#xff0c;使用TIM2产生了PA0,PA1,PA2,PA3这4路PWM&#xff0c;可以看到里面Pulse是共同使用了一个sConfigOC,如果是需要动态调整Pulse&#xff0c;就需要特别注意。 如果是用来产生呼吸灯&#xff0c;就会把这4个PWM都打乱&#xff0c;我觉得&a…

gd32和stm32的区别

gd32和stm32的区别 现在的市场上有很多种不同类型的微控制器&#xff0c;其中比较常见的有两种&#xff0c;即gd32和stm32。两种微控制器都是中国和欧洲的两个公司分别推出的&#xff0c;但是它们之间有很多区别&#xff0c;本文将会深入探讨这些区别。 1.起源和历史 gd32是…

CH32V305的高速USB2.0 CDC串口速度测试(很快啊)——2023.11.29

准备 硬件&#xff1a;CH32V305RB软件&#xff1a;CherryUSB 测试流程 CH32V305作为CDC串口设备与电脑连接&#xff0c;并向电脑循环发送数据&#xff0c;每次发送8192字节。电脑端用python脚本打开串口循环读数据&#xff0c;读到一定数量后关闭串口并计算时间和速度。由于…

INA219电流感应芯片_程序代码

详细跳转借鉴链接INA219例程此处进行总结 简单介绍一下 INA219&#xff1a; 1、 输入脚电压可以从 0V~26V,INA219 采用 3.3V/5V 供电. 2、 能够检测电流&#xff0c;电压和功率&#xff0c;INA219 内置基准器和乘法器使之能够直接以 A 为单位 读出电流值。 3、 16 位可编程地…

STM32CubeIde 实现printf打印输出

STM32CubeIde 实现printf打印输出&#xff0c;在IDE生成的程序的main中的/* USER CODE BEGIN 4 /和/ USER CODE END 4 */之间放下面代码&#xff1a; #ifdef __GNUC__ #define PUTCHAR_PROTOTYPE int __io_putchar(int ch) #define GETCHAR_PROTOTYPE int __io_getchar(FILE *…

STM32——电动车报警器

项目设计 // 如果检测到 PA4 被拉低&#xff08;小偷偷车&#xff09;&#xff0c;并且警报模式打开 // 则将 PB7 拉低&#xff0c;继电器通电&#xff0c;喇叭一直响 // 如果检测到 PA5 被拉高&#xff08;按键 A 按下&#xff09;&#xff0c;设定为开启警报模式 // 则将…

利用STM32内置Bootloader实现USB DFU固件升级

本文将介绍如何利用STM32内置的Bootloader来实现USB DFU&#xff08;Device Firmware Upgrade&#xff09;固件升级功能。首先&#xff0c;我们会介绍USB DFU的原理和工作流程。然后&#xff0c;我们将详细讲解如何配置STM32芯片以支持USB DFU&#xff0c;并提供相应的代码示例…

STM32 RTC总结

RTC入侵检测Tamper RTC Tamper功能就是&#xff0c;MCU在Tamper管脚检测到一个指定边缘信号&#xff08;可配置&#xff09;时&#xff0c;就主动清除所有备份寄存器数据的功能。如果需要&#xff0c;可以使能Tamper中断&#xff0c;在每次检测到Tamper信号后执行指定代码。 在…

CAN总线学习(STM32的CAN寄存器使用)(笔记二)

CAN总线基础基础知识的文章&#xff1a;CAN总线学习&#xff08;CAN总线基础知识&#xff09;&#xff08;笔记一&#xff09;-CSDN博客 在前面CAN总线基础知识和报文中介绍的是报文内容是比较全面的&#xff0c;STM32在CAN协议的基础上做了一些简单的简化&#xff0c;例如下图…

基于STM32+定时器中断和定时器外部时钟(标准库函数讲解)

前言 本篇博客主要学习了解定时器的标准库函数&#xff0c;以及定时器中断进行LED灯的反转&#xff0c;还有定时器外部时钟获取脉冲计数功能。本篇博客大部分是自己收集和整理&#xff0c;如有侵权请联系我删除。 本篇博客主要是对通用定时器来讲解&#xff0c;功能适中比较常…

STM32CubeMx+MATLAB Simulink串口输出实验

STM32CubeMxMATLAB Simulink串口输出实验 &#x1f4cc;《STM32CubeMxMATLAB Simulink点灯程序》&#x1f4cd;相关篇《MATLAB Simulink STM32硬件在环 &#xff08;HIL&#xff09;实现例程测试》&#x1f516;需要的软件支持包&#xff1a;Embedded Coder Support Package fo…

STM32g70开启定时器死机原因

在做低功耗产品时&#xff0c;检查发现由于之前开启了BOOTLOADER升级程序&#xff0c;修改了中断向量FALSH起始地址&#xff0c;只在KEIL TARGET IROM1中修改了&#xff0c; 而忘记在程序文件system_stm32f10x.c里修改中断向量表flash起始地址 system_stm32f10x.c里&#xff0…

51单片机应用从零开始(十)·指针

指针 C语言指针是一种保存变量地址的数据类型。它可以让程序直接访问内存中的数据&#xff0c;而不需要通过变量名来访问。指针变量存储的是一个地址&#xff0c;这个地址指向内存中的某个位置&#xff0c;该位置存储了一个值。 在C语言中&#xff0c;可以使用&运算符取得一…

蓝桥杯物联网竞赛_STM32L071KBU6_全部工程及源码

包含stm32L071kbu6全部实验工程、源码、原理图、官方提供参考代码及原理图 链接&#xff1a;https://pan.baidu.com/s/1xm8mLotLBvOULQlg76ca7g?pwdp0mx 提取码&#xff1a;p0mx

STM32的M4内核在keil上面float访问就hard_fault原因

使用 Keil MDK&#xff08;Microcontroller Development Kit&#xff09;开发时&#xff0c;出现硬件故障&#xff08;hard fault&#xff09;通常是由于访问浮点数&#xff08;float&#xff09;数据类型时&#xff0c;浮点单元配置不正确或浮点单元启用导致的。以下是一些可能…

STM32外部中断大问题

问题&#xff1a;一直进入中断&#xff0c;没有触发信号&#xff0c;也一直进入。 描述&#xff1a;开PA0为外部中断&#xff0c;刚刚很好&#xff0c;一个触发信号一个中断&#xff0c;中断函数没有丢&#xff0c;也没有抢跑&#xff0c;开PA1为外部中断也是&#xff0c;都很好…

【STM32】TIM2的PWM:脉冲宽度调制

PWM是一种周期固定&#xff0c;脉宽可调整的输出波形。 0.通用寄存器输出 1.捕获/比较通道1的主电路--中间部分 2.捕获/比较通道的输出部分--输出 3.通用定时器输出PWM原理 PWM波周期或者频率由ARR&#xff08;就是要进递增/递减的值&#xff09;决定&#xff0c;PWM波占空比由…

【STM32】STM32学习笔记-GPIO输出(05)

00. 目录 文章目录 00. 目录01. GPIO简介02. GPIO基本结构03. GPIO位结构04. GPIO模式4.1 输入浮空4.2 输入上拉4.3 输入下拉4.4 模拟输入4.5 开漏输出4.6 开漏复用功能4.7 推挽式输出4.8 推挽式复用功能 05. LED和蜂鸣器简介06. 面包板07. 附录 01. GPIO简介 GPIO&#xff08…

使用STM32和蓝牙模块进行无线数据传输的实践

无线数据传输在现代通信领域中具有重要的地位&#xff0c;而蓝牙技术是一种常用的无线数据传输技术。本文介绍了如何使用STM32微控制器和蓝牙模块实现无线数据传输的方案&#xff0c;包括硬件设计、蓝牙模块配置、数据发送和接收等步骤&#xff0c;并给出相应的代码示例。 一、…

STM32单片机项目实例:基于TouchGFX的智能手表设计(1)项目介绍及GUI界面基础

STM32单片机项目实例&#xff1a;基于TouchGFX的智能手表设计&#xff08;1&#xff09;项目介绍及GUI界面基础 一、项目介绍 1.1方案提供 1.2主控选择 1.3硬件平台 1.4 开发环境 1.5 关于华清 二、GUI界面基础 2.1.1 嵌入式绘图系统 2.1.1 色彩格式 2.1.1帧缓冲区 …

【PID学习笔记 5 】控制系统的性能指标之一

写在前面 PID在实际工程中最重要的工作就是调参&#xff0c;那么首先就要了解控制系统的性能指标。上文最后简要介绍了控制系统的基本要求&#xff0c;本文开始将系统学习控制系统的性能指标&#xff0c;内容比较多&#xff0c;初步计划是分三节来讲解。本文重点介绍性能指标的…

BearPi Std 板从入门到放弃 - 后天篇(2)(I2C1读写EEPROM)

简介 基于 BearPi Std 板从入门到放弃 - 后天篇&#xff08;1&#xff09;(I2C1 读取 光照强度)&#xff0c; 使用同一个I2C接口访问EEPROM, 同时读取光照亮度 主芯片: STM32L431RCT6 LED : PC13 \ 推挽输出即可 \ 高电平点亮 串口: Usart1 I2C : I2C1 光照强度传感器&#xf…

STM32单片机项目实例:基于TouchGFX的智能手表设计(7)MVP架构下的交互逻辑设计

STM32单片机项目实例&#xff1a;基于TouchGFX的智能手表设计&#xff08;7&#xff09;MVP架构下的交互逻辑设计 目录 一、概述 二、MVP架构下的交互逻辑 一、概述 本文例程是基于 TouchGFX 的智能手表设计—Designer 软件 UI 设计的例程 0B-2_STM32U575_MVP_Interactive工…

STM32GPIO速度配置究竟改变了什么-笔记

STM32GPIO速度配置究竟改变了什么-笔记 摘要STM32引脚内部框图STM32时钟树端口寄存器I/O交流特性定义 摘要 一般有 Low、Medium、High&#xff0c;三种速度选择。速度配置变了硬件什么状态&#xff1f; 对 GPIO 的输入输出信号有什么影响&#xff1f; 编程时如何选取速度参数&…

STM32一

0.前言 在B站经常看见有人用stm32做出了有趣的电子小玩艺儿&#xff0c;感到很羡慕&#xff0c;于是想了解一下。 1.什么是stm32 STM32 是一系列由STMicroelectronics&#xff08;意法半导体&#xff09;公司设计和制造的32位ARM Cortex-M微控制器。这一系列的微控制器广泛用…

STM32上模拟CH340芯片的功能 (一)

#虚拟串口模拟CH340# 后续代码更新放gitee 上 一、思路 1. 确定通信接口&#xff1a;CH340是一款USB转串口芯片&#xff0c;因此您需要选择STM32上的某个USB接口来实现USB通信。通常情况下&#xff0c;STM32系列芯片都有内置的USB接口&#xff0c;您可以根据您的具体型号选择…

STM32-SPI 中断

SPI协议 1.1 SPI总线介绍 SPI接口是Motorola &#xff08;motorola | Smartphones, Accessories & Smart Home Devices&#xff09;首先提出的全双工三线/四线同步串行外围接口采用主从模式&#xff08;Master Slave&#xff09;架构。 时钟由Master控制&#xff0c;在时钟…

普中STM32 单片机资料

普中科技–各型号开发板资料下载链接: ①普中-精灵1开发板: 百度网盘链接:https://pan.baidu.com/s/1Pa8Ep1xmg6uoq17O6Nwyyw?pwd=1234 提取码:1234 ②普中-ESP32开发板: 百度网盘链接:https://pan.baidu.com/s/16VthcbW27oEWp162H3bi6Q?pwd=1234 提取码:1234 一…

【STM32单片机】旋转太空人设计

文章目录 一、功能简介二、软件设计三、实验现象联系作者 一、功能简介 本项目使用STM32F103C8T6单片机控制器&#xff0c;使IIC OLED液晶等。 主要功能&#xff1a; 系统运行后&#xff0c;OLED显示动画界面。 二、软件设计 /* 作者&#xff1a;嗨小易&#xff08;QQ&#x…

Windows侧常见USB接口描述符综述

Windows侧常见USB接口描述符综述 1. 术语2. CDC类设备综述2.1. 概述2.2. CDC类Communications Interface描述符2.2.1. Header Functional Descriptor2.2.2. Union Functional Descriptor2.2.3. MBIM接口Class Functional Descriptors2.2.3.1. MBIM Functional Descriptor2.2.3.…

C51--IIC协议

IIC协议初识&#xff1a; 1、概述 IIC全称Inter-Integrated Circuit (集成电路总线) 是由PHILIPS公司在80年代开发的两线式串行总线&#xff0c;用于连接微控制器及其外围设备。 IIC属于半双工同步通信方式 SCL——时钟信号 SDA——数据信号 2、特点&#xff1a; 简单性和…

AGM AG32 MCU用于Mini-LED背光控制应用方案

目前很多设计都是MCUFPGA/CPLD的架构&#xff0c;FPGA主要是处理高速&#xff0c;并发的数据&#xff0c;实时性高&#xff0c;这个是MCU分步骤执行所不能完成的。AGRV2K或者AG32 MCU正好弥补了这个空白。以下我们分享一些应用案例&#xff0c;供大家拓展思路。一、AG32系列MCU…

STM32-OLED显示屏

*本文采用的OLED显示屏为4针脚IIC显示屏&#xff0c;4针脚分别为GND&#xff0c;VCC&#xff0c;SCL&#xff0c;SDA OLED.C #include "stm32f10x.h" #include "OLED_Font.h"/*引脚配置*/ #define OLED_W_SCL(x) GPIO_WriteBit(GPIOB, GPIO_Pin_8, (BitAc…

STM32的BKP与RTC简介

芯片的供电引脚 引脚表橙色的是芯片的供电引脚&#xff0c;其中VSS/VDD是芯片内部数字部分的供电&#xff0c;VSSA/VDDA是芯片内部模拟部分的供电&#xff0c;这4组以VDD开头的供电都是系统的主电源&#xff0c;正常使用时&#xff0c;全部都要接3.3V的电源上&#xff0c;VBAT是…

变电站蓄电池在线监测系统(论文+源码)

1. 系统设计 本次课题为变电站蓄电池在线监测系统的设计&#xff0c;其系统架构如图3.1所示&#xff0c;包括了主控制器STC89C52单片机&#xff0c;液晶显示器LCD1602,模数转换器ADC0832&#xff0c;电流传感器ACS712&#xff0c;分压电阻&#xff0c;蜂鸣器以及温度传感器。在…

STM32(PWM、ADC)

1、PWM 定义 PWM&#xff0c;全称为脉冲宽度调制&#xff08;Pulse Width Modulation&#xff09;&#xff0c;它通过改变信号的高电平和低电平的持续时间比例来控制输出信号的平均功率或电压。 PWM&#xff0c;全称为脉冲宽度调制&#xff08;Pulse Width Modulation&#xff…

STM32 DAC+串口

提示&#xff1a;文章写完后&#xff0c;目录可以自动生成&#xff0c;如何生成可参考右边的帮助文档 文章目录 前言一、DAC是什么&#xff1f;二、STM32 DAC1.什么型号有DAC2. 简介3. 主要特点4. DAC框图5. DAC 电压范围和引脚 三、程序步骤1. 开启DAC时钟2. 配置引脚 PA4 PA5…

【STM32】小车

一、安装及基本技能 1.环境安装 MDK的下载与安装&#xff1a; 下载链接&#xff1a;Arm Keil | Keil STM32G0xx_DFP 【STM32】两个版本MDK搭建和三种调试器的使用-CSDN博客 安装完MDK后要导入MDK Keil中 导入下载的MDK【记得保存路径中不要有中文】 安装DFP包提示SVDConv …

推荐一款手持式ECU刷写设备,国产软件配合使用

同星智能开发的一款手持式ECU刷写工具——TF1011&#xff0c;在TSMaster中导入诊断流程即可离线一键刷写。在 PC 端完成配置后&#xff0c;在设备可以在手持式离线场景下实现&#xff1a;基于 UDS 协议的诊断和基于 UDS 协议的 Flash Bootloader 程序更新功能。 产品简介—TF10…

同旺科技 USB TO RS-485 定制款适配器--- 拆解(二)

内附链接 1、USB TO RS-485 定制款适配器 ● 支持USB 2.0/3.0接口&#xff0c;并兼容USB 1.1接口&#xff1b; ● 支持USB总线供电&#xff1b; ● 支持Windows系统驱动&#xff0c;包含WIN10 / WIN11系统32 / 64位&#xff1b; ● 支持Windows RT、Linux、Mac OS X、Windo…

STM32F407: CMSIS-DSP库的移植(基于源码)

上一篇&#xff1a;STM32F407: CMSIS-DSP库的移植(基于库文件)-CSDN博客 1. 拷贝源码 仿照官方源码目录&#xff0c;新建一个source目录&#xff1a;\Drivers\CMSIS\DSP\Source 将所有源文件拷贝过来&#xff0c;如下&#xff1a; 然后在每个目录下新建一个总的c文件&#x…

STM32GPIO——上拉、下拉电阻

如上两个图所示&#xff0c;标号2都为上拉、下拉电阻部分&#xff0c;阻值约为30k~50k欧&#xff0c;通过对应开关进行控制&#xff0c;开关由寄存器控制。 当引脚外部的器件没有干扰引脚的电压时&#xff0c;即没有外部的上、下拉电压&#xff0c;引脚的电平由引脚内部上、下…

【STM32单片机】弹弹球游戏设计

文章目录 一、功能简介二、软件设计三、实验现象联系作者 一、功能简介 本项目使用STM32F103C8T6单片机控制器&#xff0c;使用8*8LED点阵模块、按键、LED等。 主要功能&#xff1a; 系统运行后&#xff0c;弹弹球开始以默认速度运行&#xff0c;可通过K1、K3键控制球拍移动&…

STM32 -Bin/Hex文件格式解析

文章目录 1. 概述2. Hex文件2.1 格式解析2.2 数据类型2.3 举例解析2.4 合并两个Hex文件方法 3 总结&#xff08;未完待续&#xff09; 1. 概述 Hex文件&#xff1a;它是单片机和嵌入式工程编译输出的一种常见的目标文件格式&#xff08;比如keil就能编译输出hex文件&#xff0…

【STM32】关于H743中出现的ANA类型引脚

最近调试H743ZI这个封装的H743的LAN8720功能 LAN8720是需要用一个外部引脚进行外部复位的 之前也没有好好看手册&#xff0c;选了PC2_C来做这个输出低电平复位信号的IO口 但是重点来了&#xff0c;PC2_C其实它不能做普通IO口&#xff0c;无法控制其输出高低电电平)!!! 手册上写…

GPIO的使用--USART串口通信--传感器控制数据

目录 一、串口通信 1、概念 2、原理图 3、使用步骤 &#xff08;1&#xff09;寻找串口位置 &#xff08;2&#xff09;确定引脚编号 &#xff08;3&#xff09;编写代码 4、实验结果 实验代码 main.c usart.c usart.h 一、串口通信 1、概念 串行接口是一种可以将…

ros2/ros安装ros-dep||rosdep init错误

第一个错误的做法&#xff1a; sudo apt-get install python3-pip sudo pip3 install 6-rosdep sudo 6-rosdep 如果使用上述代码将会摧毁整个系统&#xff0c;不重装系统反正我是搞不定啊&#xff0c;因为我不知道那个写软件的人到底做了什么。因为这个我安装的版本是humble&…

STM32 cubeMX 呼吸灯实验

文章代码使用 HAL 库。 文章目录 一、1.PWM原理二、LED 原理图三、使用cubemx 配置 led四、PWM 相关函数五、PWM占空比占空比计算六、PWM 呼吸灯重要代码总结 呼吸灯 一、1.PWM原理 PWM全称为脉冲宽度调制&#xff08;Pulse Width Modulation&#xff09;&#xff0c;是一种常…

STM32 寄存器配置笔记——USART DMA接收

一、简介 本文主要介绍STM32如何配合USART的IDLE中断实现USART DMA接收不定长的数据。其中使用的接收缓存还是延用前面博客写的乒乓缓存。使用DMA USART接收来替代中断方式或轮询方式的接收主要是为了提高代码的运行效率&#xff0c;中断方式的接收&#xff0c;每接收一个字节便…

系统延时函数的实现

滴答定时器的工作原理 STM32F103的内核时钟由AHB总线时钟(72M)经过8分频得到&#xff0c;即72/89M LOAD的取值范围是0-1677215&#xff0c;也就是VAL最多可以计2^24次。 滴答定时器的寄存器 系统延时函数的配置 当需要计1us(1/100 0000)时&#xff0c;9M的时钟就要计9次&#x…

对比SPI、UART、I2C通信的区别与应用

SPI、UART、I2C通信是常用的数字通信协议&#xff0c;它们在不同的场景下有不同的应用。下面&#xff0c;我将分别介绍它们的特点、区别与应用。 SPI通信 SPI通信是一种串行同步通信协议&#xff0c;它的全称为“Serial Peripheral Interface”。SPI通信是一种单主多从的通信方…

STM32F051C8T6编程

第一次用STM32CubeIDE为STM32F051C8T6编程 硬件软件编程配置编程口配置时钟配置GPIO编制灯闪程序编译和下载程序总结硬件 在某宝买的小开发板,比STM32F103C8T6贵。编程软件选择的是免费的STM32CubeIDE. 不存在任何的版权的问题。编程器选择的是某宝的ST-LINK. 电源线比较麻烦…

BMS方案BQ76930+STM32F103包含上位机

下载链接&#xff01;https://mp.weixin.qq.com/s?__bizMzU2OTc4ODA4OA&mid2247558655&idx1&sn7e56e4590aeb7d38c0fdf60360600ae6&chksmfcfaf628cb8d7f3eef8354919cf5ab6115f73e1637543c793e9e92dd5265c7f0739783b9efaf&token496738798&langzh_CN#rd …

交叉编译工具链makefile

linux系统默认搜索头文件地址&#xff1a;/usr/include/文件夹&#xff1b; Windows系统默认搜索头文件地址&#xff1a;不同软件好像可以设置不同的地址&#xff1b;例如visual studio好像可以设置附加包含目录&#xff0c;包含目录等 Linux系统库文件路径&#xff1a;/lib文…

STM32F103

提示&#xff1a;来源正点原子&#xff0c;参考STM32F103 战舰开发指南V1.3PDF资料 文章目录 前言一、pandas是什么&#xff1f;二、使用步骤 1.引入库2.读入数据总结 前言 提示&#xff1a;这里可以添加本文要记录的大概内容&#xff1a; 开发环境硬件普中科技&#xff0c;接…

【STM32】TIM定时器编码器

1 编码器接口简介 Encoder Interface 编码器接口 编码器接口可接收增量&#xff08;正交&#xff09;编码器的信号&#xff0c;根据编码器旋转产生的正交信号脉冲&#xff0c;自动控制CNT自增或自减&#xff0c;从而指示编码器的位置、旋转方向和旋转速度 接收正交信号&#…

STM32 配置TIM定时中断常用库函数

单片机学习&#xff01; 目录 ​编辑 1. 函数TIM_DeInit 2. 函数TIM_TimeBaseInit 配置时基单元 3. 函数TIM_TimeBaseStructInit 4. 函数TIM_Cmd 运行控制 5. 函数TIM_ITConfig 中断输出控制 6. 时基单元的时钟选择函数 6.1 函数TIM_InternalClockConfig 6.2 函数 TIM…

STM32 定时器总结

缩写 ARR: Auto-Reload Register&#xff08;保存定时器的计数范围&#xff09; PSC: Prescaler register&#xff08;预分频器寄存器&#xff0c;根据设置的分频因子N&#xff0c;计数N个定时器时钟脉冲后&#xff0c;产生一个CNT计数&#xff0c;以此实现分频功能&#xff0…

STM32——继电器

继电器工作原理 单片机供电 VCC GND 接单片机&#xff0c; VCC 需要接 3.3V &#xff0c; 5V 不行&#xff01; 最大负载电路交流 250V/10A &#xff0c;直流 30V/10A 引脚 IN 接收到 低电平 时&#xff0c;开关闭合。

关于FreeRTOS的优先级,以及调度器的一些情况的理解,可以参考

FreeRTOS是一个多任务多进程的操作系统&#xff0c;每个Task按照其优先级等关系由系统调度器统一调度执行。 上篇文章讲到的18B20是一个单线双向通信的系统&#xff0c;必须确保在一次通信期间是连续的&#xff0c;不希望被别的task打断&#xff0c;如果有打断情况可能出现检测…

电子秤ADC芯片CS1237技术资料问题合集

问题11&#xff1a;实际应用中&#xff0c;多个称重传感器应该怎么与ADC连接&#xff1f; 解答&#xff1a;如果传感器是测量同一物体&#xff08;例如&#xff1a;厨房垃圾处理器&#xff09;&#xff0c;一般建议使用并联的方式。则相同类型的信号线连接在一起。对于传感器的…

stm32F4环境的配置固件库的安装与Jlink驱动的下载)与模板工程的创建

01-stm32F4环境的配置与模板工程的创建 使用开发板为stm32F407VET6&#xff0c;为单片机课程设计时的课程学习内容。本章节主要包括stm32F4环境的配置&#xff08;固件库的安装与Jlink驱动的下载&#xff09;与stm32模板工程的创建 模板工程可到我的gitee上进行下载–模板工程下…

HAL库中如何屏蔽复用功能-PB4引脚无法正常输出IO电平,默认为JTAG模式

一、在标准库中要关闭PB4引脚的复用功能可以按下述步骤操作 1.STM32F10x系列的MCU复位后&#xff0c;PA13/14/15 & PB3/4默认配置为JTAG功能 标准库中 禁用PB4复用功能 1. 开启RCC_APB2PeriphClockCmd(RCC_APB2Periph_AFIO, ENABLE);复用时钟。 2.采用 GPIO_PinRemapCo…

STM32G030C8T6:使用外部晶振配置LED灯闪烁

本专栏记录STM32开发各个功能的详细过程&#xff0c;方便自己后续查看&#xff0c;当然也供正在入门STM32单片机的兄弟们参考&#xff1b; 本小节的目标是&#xff0c;使用STM32G030C8T6单片机&#xff0c;通过STM32CubeMX软件&#xff0c;配置并使用外部8MHz晶振&#xff0c;实…

STM32启动过程

STM32启动模式&#xff08;自举模式&#xff09; M3/3/7等内核&#xff0c;复位后做的第一件事&#xff1a; 从地址0x0000 0000处取出栈指针MSP的初始值&#xff0c;该值就是栈顶地址。从地址0x0000 0004处取出程序计数器指针PC的初始值&#xff0c;该值是复位向量。 芯片厂商…

(八)STM32 USART —— 串口通讯

目录 1. 串口通讯协议简介 1.1 物理层 1.1.1 电平标准 1&#xff09;TTL 电平 2&#xff09;RS-232 电平 3&#xff09;RS-485 电平 4&#xff09;CAN 总线电平 1.1.2 USB 和 串口 的区分 1.1.3 RS-232 信号线 1.2 协议层 1&#xff09;波特率 2&#xff09;通讯…

STM32为基础的模拟I2C通用8bit和16bit读取以及多字节读取

GPIO模拟I2C驱动的通用代码&#xff0c;I2C的寄存器地址有8位和16位的&#xff0c;主要解决了同一个MCU同时处理8位和16位寄存器地址芯片时候的驱动问题。 typedef enum {IIC_8BIT_BASE_ADDR,IIC_16BIT_BASE_ADDR }iic_bits_e; typedef struct {uint8_t DevAddr;uint16_t RegA…

DSP定时器0笔记

首先了解开发板TMS320f28335是150Mhz的频率 定时器结构图和概要 定时器0对应的中断是TINT0 大概是这样&#xff0c;时钟sysclkout 进入和TCR控制时钟进入 &#xff0c;经过标定计数器&#xff08;stm32的预分频&#xff09;&#xff0c;标定器挂这自动装载寄存器&#xff0c…

详细了解stm32---按键

提示&#xff1a;永远支持知识文档免费开源&#xff0c;喜欢的朋友们&#xff0c;点个关注吧&#xff01;蟹蟹&#xff01; 目录 一、了解按键 二、stm32f103按键分析 三、按键应用 一、了解按键 同学们&#xff0c;又见面了o(*&#xffe3;▽&#xffe3;*)ブ&#xff0c;最…

DMA传输中的中断处理在STM32中的应用

DMA&#xff08;Direct Memory Access&#xff09;是一种在数字系统中进行数据传输的技术&#xff0c;它可以在不依赖CPU的情况下直接从内存中读取或写入数据。在STM32微控制器中&#xff0c;DMA控制器可以与外设进行数据传输&#xff0c;减轻了CPU的负担&#xff0c;提高了数据…

STM32CubeMX驱动ST7789

环境 1、单片机:STM32F103C8T6 2、开发平台&#xff1a;STM32CUBEMXkeil mdk 3、屏幕&#xff1a;ST7789&#xff0c;分辨率240*240 STM32配置 1、使用硬件SPI1驱动屏幕。配置如下&#xff1a; 2、屏幕控制引脚配置&#xff1a; 注意&#xff1a;只配置了DC,RST,CS这3个控…

stm32Flash操作

//G0B0 flash大小 0x08000000-0x0807FFFF 512K(0400 1K)//2k 1页 //初始化标记数据地址 放最前面 脱机烧写器可擦除掉 #define CONST_INITMARKDATA_ADDRESS (0x0807D000UL) //2k 1页 //射频数据地址 #define CONST_FREQDATA_ADDRESS (0x0807F000UL) //2…

Linux_Ubuntu 系统入门

Ubuntu 系统是和 Windows 系统一样的大型桌面操作系统&#xff0c;因此功能非常强大。 本节的目的是掌握后续嵌入式开发所需的 Ubuntu 基本技能&#xff0c;比如系统的基本设置、常用的 shell 命令、vim 编译器的基本操作等等…… Ubuntu 的图形化界面操作和 Windows 下基本一致…

【STM32】STM32学习笔记-GPIO输入(07)

00. 目录 文章目录 00. 目录01. 按键简介02. 传感器模块简介03. 光敏电阻传感器04. 按键电路图05. C语言数据类型06. C语言宏定义07. C语言typedef08. C语言结构体09. C语言枚举10. 附录 01. 按键简介 按键&#xff1a;常见的输入设备&#xff0c;按下导通&#xff0c;松手断开…

【STM32】USART串口协议

1 通信接口 通信的目的&#xff1a;将一个设备的数据传送到另一个设备&#xff0c;扩展硬件系统 通信协议&#xff1a;制定通信的规则&#xff0c;通信双方按照协议规则进行数据收发 USRT&#xff1a;TX是数据发送引脚&#xff0c;RX是数据接受引脚&#xff1b; I2C&#xf…

stm32学习:hal库usart+esp8266+tcp+onenet+可以远程监督家里情况

目录 准备材料 步骤 stm32f103c8t6 在stm32clube里创建项目 先配置调试接口SYS&#xff08;博主用的是stlink&#xff0c;选的是SW&#xff09;&#xff0c;配置外部时钟源RCC&#xff0c;总线时钟频数72 配置串口&#xff08;波特率为115200&#xff09; ​编辑 看各自…

stm32F4——蜂鸣器与按键的实例使用

stm32F4——蜂鸣器与按键的实例使用 蜂鸣器和按键的实质都是GPIO的使用&#xff0c;所以基本原理就不介绍啦&#xff0c;基本寄存器其实都是GPIO的高低电平的赋值&#xff0c;可以参考stm32——LEDGPIO的详细介绍 使用的开发板是华清远见的stm32F407VET6&#xff0c;都是M4的内…

【STM32】STM32学习笔记-EXTI外部中断(11)

00. 目录 文章目录 00. 目录01. 中断系统02. 中断执行流程03. STM32中断04. NVIC基本结构05. NVIC优先级分组06. EXTI简介07. EXTI基本结构08. AFIO复用IO口09. EXTI框图10. 计数器模块11. 旋转编码器简介12. 附录 01. 中断系统 中断&#xff1a;在主程序运行过程中&#xff0…

基于单片机的电梯声控系统设计(论文+源码)

1.系统设计 在目前的高楼住宅&#xff0c;商业大厦中电梯是不可或缺的&#xff0c;而传统的电梯控制器系统&#xff0c;通常需要用户用手去按下按键进行控制&#xff0c;但是这种方式在有些情况下&#xff0c;并不完善&#xff0c;比如在本次新冠疫情期间&#xff0c;由于新冠…

STM32独立看门狗和窗口看门狗的区别

独立看门狗&#xff1a; 本质上是一个定时器&#xff0c;这个定时器有一个输出端&#xff0c;可以输出复位信号。 该定时器是一个 12 位的递减计数器&#xff0c;当计数器的值减到 0 的时候&#xff0c;就会产生一个复位信号。如果在计数没减到 0 之前&#xff0c;重置计数器的…

STM32F103的启动过程及BootLoader作用

1.STM32的启动过程 1.1 复位后的启动模式选择 我们知道的复位方式有三种&#xff1a;上电复位&#xff0c;硬件复位和软件复位。当产生复位&#xff0c;并且离开复位状态后&#xff0c;CM3 内核做的第一件事就是读取下列两个32 位整数的值&#xff1a; &#xff08;1&#xff0…

STM32 寄存器配置笔记——USART DMA发送

一、DMA介绍 直接存储器存取(DMA)用来提供在外设和存储器之间或者存储器和存储器之间的高速数据传 输。无须CPU干预&#xff0c;数据可以通过DMA快速地移动&#xff0c;这就节省了CPU的资源来做其他操作。当产品对于时序要求较严格时&#xff0c;外设使用DMA的方式能够减轻CPU负…

基于单片机的电阻、电容、电感测试仪(论文+源码)

1.系统设计 1)控制部分&#xff1a;本设计以单片机为核心&#xff0c;采用89C52单片机&#xff0c;利用其管脚的特殊功能以及所具备的中断系统&#xff0c;定时/计数器和LCD显示功能等。在本设计中&#xff0c;采用LCD1602液晶显示&#xff1a;本设计中有1个CD4502、3个LM555、…

STM32——串口实验(非中断)

需求&#xff1a; 接受串口工具发送的字符串&#xff0c;并将其发送回串口工具。 硬件接线&#xff1a; TX -- A10 RX -- A9 一定要记得交叉接线&#xff01;&#xff01; 串口配置&#xff1a; 1. 选定串口 2. 选择模式 异步通讯 3. 串口配置 4. 使用MicroLIB库 从…

FreeRTOS之任务状态查询

1、相关API函数的使用 uxTaskPriorityGet(Task1Task_Handler);//传入task1的任务句柄,获取任务优先级 vTaskPrioritySet(Task1Task_Handler,30);//改变任务优先级 task_num uxTaskGetNumberOfTasks();//获取任务数量 #include "sys.h" #include "delay.h"…

【STM32工具篇】使用CLion开发STM32

本文主要记录使用CLion开发STM32&#xff0c;并调试相关功能 使用的CLion版本&#xff1a;2023.3.1 CLion嵌入式配置教程&#xff1a;STM32CubeMX项目 |CLion 文档 (jetbrains.com) OpenOCD官网下载&#xff1a;Download OpenOCD for Windows (gnutoolchains.com) GNU ARM工…

STM32CubeMX配置HAL库输入捕获

STM32CubeMX配置HAL库输入捕获 STM32的输入捕获功能可以用来测量脉冲宽度或者频率。其工作原理是&#xff0c;通过检测TIMx_CHx上的边沿信号&#xff0c;在边沿信号发生跳变&#xff08;比如 上升沿/下降沿&#xff09;的时候&#xff0c;将当前定时器的值&#xff08;TIMx_C…

开放原子线下训练营---STM32H7搭载TobudOS开发心得

导语&#xff1a; 本次线下活动是以STM32H7为核心的一个功能强大的开发板&#xff0c;不仅支持Audio&#xff0c;HDMI&#xff0c;还支持4G或者WiFi模块&#xff0c;也可以外接屏幕&#xff0c;本次线下训练营是以4G模块进行开发。 线下的实物开发板如下所示&#xff1a; 注意…

DMA直接存储器访问

什么是DMA&#xff1f; DMA(Direct Memory Access &#xff0c;直接存储器访问 ) 提供在 外设与内存 、 存储器和存储器 、 外设 与外设之间的高速数据传输使用。它允许不同速度的硬件装置来沟通&#xff0c;它允许数据在不经过中央处理单元&#xff08;CPU&#xff09;的情况…

【Stm32-F407】全速DAP仿真器下载程序

文章内容如下: 1) 全速DAP仿真器简介2) 全速DAP仿真器下载程序流程 1) 全速DAP仿真器简介 1&#xff09;全速DAP仿真器简介 DAP全称 Data Acquisition Processor&#xff0c;是一种用于数据采集和实时控制的设备。本文使用的全速DAP仿真器遵循ARM公司的CMSIS-DAP标准&#xff…

STM32-I2C通讯-AHT20温湿度检测

非常感谢&#xff0c;提供的视频学习 https://www.bilibili.com/video/BV1QN411D7ak/?spm_id_from333.788&vd_source8ca4826038edd44bb618801808a5e076 该文章注意&#xff1a;串口显示中文会乱码&#xff0c;必须选用支持ASCII的串口助手&#xff0c;才能正常显示中文。…

同步与互斥(一)

目录 一、举例理解 二、概念 三、互斥锁 四、互斥量的适用场合 五、互斥量函数 5.1 创建 5.2 其他函数 六、互斥量的基本使用 一、举例理解 一句话理解同步与互斥&#xff1a;我等你用完厕所&#xff0c;我再用厕所。 什么叫同步&#xff1f;就是&#xff1a;哎…

STM32 DMA数据发送到串口

提示&#xff1a;文章写完后&#xff0c;目录可以自动生成&#xff0c;如何生成可参考右边的帮助文档 文章目录 前言一、DMA是什么&#xff1f;1.1 DMA的特征1.2 DMA的框图1.3 DMA 数据处理过程1.4 DMA的仲裁器1.5 数据传输宽度可能出现的问题1.6 DMA对应的通道 二、使用步骤2.…

【STM32】STM32学习笔记-TIM输出比较(15)

00. 目录 文章目录 00. 目录01. 输出比较简介02. PWM简介03. 输出比较通道(高级)04. 输出比较通道(通用)05. 输出比较模式06. PWM基本结构07. PWM参数计算08. 舵机简介09. 舵机硬件电路10. 直流电机及驱动简介11. 直流电机硬件电路12. 附录 01. 输出比较简介 OC&#xff08;Ou…

MDK官网如何下载stm32支持包

网站&#xff1a;https://www.keil.com/demo/eval/arm.htm 1 2 3点这个下载

如何快速移植(从STM32F103到STM32F407)

最近用到F4的地方比较多&#xff0c;网上代码还是F1多一些&#xff0c;便需要移植代码&#xff0c;如何快速移植代码呢&#xff1f; 看下面这篇文章 外设 首先就是STM32的外设了。 STM32F407ZGT6的基本外设 STM32F407ZGT6 作为 MCU&#xff0c;该芯片是 STM32F407 里面配置…

STM32CubeIDE下载安装以及汉化

1.安装 网站&#xff1a;STM32CubeIDE - STM32的集成开发环境 - 意法半导体STMicroelectronicshttps://www.st.com/content/st_com/zh/products/development-tools/software-development-tools/stm32-software-development-tools/stm32-ides/stm32cubeide.html 1.打开官网下载…

arm-none-eabi-gcc not find

解决办法&#xff1a;安装&#xff1a;gcc-arm-none-eabi sudo apt install gcc-arm-none-eabi; 如果上边解决问题了就不用管了&#xff0c;如果解决不了&#xff0c;加上下面这句试试运气&#xff1a; $ sudo apt-get install lsb-core看吧方正我是运气还不错&#xff0c;感…

STM32F103C8T6制作简易示波器

1设计需求 通过stm32f103c8t6实现一个简易示波器功能&#xff0c;该示波器可以检测0-3.6khz频率范围内的波形。 也可以输出波形&#xff0c;输出方波、三角波、正弦波。 2技术方案 通过stm32的ADC功能&#xff0c;采集输入信号&#xff0c;最后由oled屏进行显示。 采样频率…

STM32学习笔记二十:WS2812制作像素游戏屏-飞行射击游戏(10)探索游戏平衡

游戏平衡很重要&#xff0c;然而&#xff0c;却往往得不到开发者的重视。或者&#xff0c;没有花时间仔细去做调整。 做过游戏开发的&#xff0c;都听说过一个词叫“数值爆炸”&#xff0c;实际上就是平衡没做好。 怎么样才能算是平衡呢&#xff1f; 玩家投入游戏的有两个&a…

ODrive移植keil(九)—— 抗齿槽效应算法

目录 一、齿槽效应1.1、齿槽效应的定义1.2、产生原因1.3、解决办法 二、硬件接线三、ODrive官方代码操作3.1、固件版本v0.5.13.2、抗齿槽校准原理3.3、校准注意事项3.4、校准操作 四、移植后的代码操作五、总结 ODrive、VESC和SimpleFOC 教程链接汇总&#xff1a;请点击   一、…

STM32的DMA的五大问题

1&#xff0c;DMA控制器的内部结构 STM32中的DMA控制器是一种用于在外设和存储器之间传输数据的专用硬件。DMA控制器的内部结构主要包括以下几个关键部分&#xff1a; 通道&#xff1a; DMA控制器可以有多个通道&#xff0c;每个通道独立管理一个数据传输任务。通道的数量取决于…

gd32F303串口接收的几种方式

一、串口接受到换行符停止 #include "usart.h"UCHAR arr_rxData[1024]{0};//存储串口接收的数据 UINT arr_len0;//串口已经接收数据的字节数个数 USART_RX_FLAG rxFlgFLASE;//默认串口未接收到数据void USART2_Init(void) {rcu_periph_clock_enable(RCU_GPIOB); //e…

【基础篇】1.1 认识STM32(二)

3.3 VREF/VREF-引脚 VREF和VREF-是STM32中用于提供参考电压的引脚。如下图&#xff1a; VREF引脚可以连接一个单独的外部参考电压&#xff0c;范围在2.0V&#xff5e;VDDA&#xff0c;但不能超过VDDA&#xff0c;否则就超过了模拟器件的最大供电电压。在100引脚的封装中&#…

BearPi Std 板从入门到放弃 - 先天神魂篇(7)(RT-Thread 定时器-软件定时器)

简介 RT-Thread 软件定时器的简单使用步骤 创建项目 参考 BearPi RT-Thread项目创建 定时器管理接口 定时器时钟节拍 定时器管理相关函数 定时器类型 #define RT_TIMER_FLAG_ONE_SHOT 0x0 //一次性计时器 #define RT_TIMER_FLAG_PERIODIC 0x2 // 周期性定时器 #…

ShellCode注入程序

程序功能是利用NtQueueApcThreadEx注入ShellCode到一个进程中&#xff0c;程序运行后会让你选择模式&#xff0c;按1为普通模式&#xff0c;所需的常规API接口都是使用Windows原本正常的API&#xff1b;在有游戏保护的进程中Windows原本正常的API无法使用&#xff0c;这时候需要…

21 STM32F103的CAN

文章目录 STM32F103的CAN21.1 双 CAN 的框图21.1.1 发送邮箱21.1.2 接收FIFO21.1.3 过滤器21.1.3.1 CAN_FMR 过滤器模式寄存器21.1.3.2 CAN_FxR1 和 CAN_FxR2 21.2 发送和接收流程21.2.1 CAN 发送流程21.2.2 CAN 的接收流程 21.3 位时序与波特率21.3.1 CAN 位时序寄存器&#x…

迪文屏开发保姆级教程5—表盘时钟和文本RTC显示

这篇文章要讲啥事呢&#xff1f; 本篇文章主要介绍了在DGBUS平台上使用表盘时钟和文本时钟RTC显示功能的方法。 文哥悄悄话&#xff1a; 官方开发指南PDF&#xff1a;&#xff08;不方便下载的私聊我发给你&#xff09; https://download.csdn.net/download/qq_21370051/8864…

利用STM32和可控硅控制220V加热电路

利用STM32和可控硅控制220V加热电路 Chapter1 利用STM32和可控硅控制220V加热电路一、错误原理图二、正确原理图 Chapter2 可控硅驱动芯片MOC3081/3061Chapter3 一个MOC3061的可控硅触发电路的分析Chapter4 可控硅的两种触发方式&#xff1a;移相触发和过零触发1、过零触发2、移…

STM32-TIM定时器中断

目录 一、TIM&#xff08;Timer&#xff09;定时器简介 二、定时器类型 2.1基本定时器结构 2.2通用定时器结构 2.3高级定时器结构 三、定时中断基本结构 四、时序图分析 4.1 预分频器时序 4.2 计数器时序 4.3 计数器无预装时序&#xff08;无影子寄存器&#xff09; …

C51--DHT11数据读取并通过串口上传--LCD显示

DHT11数据读取并通过串口上传 #include "reg52.h" #include <intrins.h>sbit ledOne P3^7; sbit DHT P3^3; //模块的data接在c51的P3.3口char datas[5];sfr AUXR 0x8E;//串口初始化 void UartInit(void) //9600bps11.0592MHz {PCON & 0x7F; //波特…

STM32 cubeMX 光敏电阻AD转化实验

文章代码使用 HAL 库。 文章目录 前言一、光敏电阻介绍二、光敏电阻原理图解析三、ADC采样介绍1. 工作原理&#xff1a;2. ADC精度&#xff1a; 四、STM32 cubeMX配置ADC采样五、代码编写总结 前言 实验开发板&#xff1a;STM32F051K8。所需软件&#xff1a;keil5 &#xff0c;…

STM32G030F6P6读写flash失败问题(HAL)

STM32G030是F0系列的升级版&#xff0c;其在性能上比F0要好很多&#xff0c;具体G0参数如下&#xff1a; 最开始做项目选用的单片机是STM32F030F4P6&#xff0c;但是在后期使用中发现&#xff0c;我的FLASH&#xff08;16K&#xff09;不够用了&#xff0c;就选择了STM32G030F6…

STM32 学习(三)OLED 调试工具

目录 一、简介 二、使用方法 2.1 接线图 2.2 配置引脚 2.3 编写代码 三、Keil 工具调试 一、简介 在进行单片机开发时&#xff0c;有很多调试方法&#xff0c;如下图&#xff1a; 其中 OLED 就是一种比较好用的调试工具&#xff1a; OLED 硬件电路如下&#xff0c…

【IoT网络层】STM32 + ESP8266 +MQTT + 阿里云物联网平台 |开源,附资料|

目标&#xff1a;实现STM32连接阿里云物联网平台发送数据同时接收数据&#xff0c;IOT studio界面显示数据。具体来说&#xff1a;使用ESP8266 ESP-01来连接网络&#xff0c;获取设备数据发送到阿里云物联网平台并显示且oled显示屏当前的设备数据&#xff0c;通过IOT studio界面…

单片机第三季-第七课:STM32中断体系

目录 1&#xff0c;NVIC 2&#xff0c;中断和事件的区别 3&#xff0c;优先级的概念 4&#xff0c;如何实际编程使用外部中断 5&#xff0c;STM32开发板通过按键控制LED 5.1&#xff0c;打开相应GPIO模块时钟 5.2&#xff0c;NVIC设置 5.3&#xff0c;外部中断线和配套…

STM32F407-14.3.10-表73具有有断路功能的互补通道OCx和OCxN的输出控制位-1x100

如上表所示&#xff0c;MOE1&#xff0c;OSSR1&#xff0c;CCxE0&#xff0c;CCxNE0时&#xff0c;OCx与OCxN对应端口的输出状态取决于GPIO端口上下拉状态。 -------------------------------------------------------------------------------------------------------------…

STM32f407探索者 ThreadX NetXDuo

本文带来的是正点原子的开发板STM32F407探索者使用ThreadX和NetX的教程&#xff0c;工程文件是通过CubeMX创建的&#xff0c;导出为MDK在进行编辑。 一.创建工程 打开CubeMX选择stm32f407zgt6 144引脚版本&#xff0c;由于板子带的是lan8720的网口&#xff0c;我试过用lan874…

STM32_串口下载程序

目录标题 前言1、理论知识2、串口下载具体操作2.1、硬件准备2.2、软件准备2.3、设置单片机的启动模式为系统存储器启动2.4、软件配置2.5、下载程序 附:生成hex文件 前言 使用调试器下载程序又快有稳定还能使用调试功能&#xff0c;当然是下载调试的首选。但是拓展下串口下载程…

STM32单片机项目实例:基于TouchGFX的智能手表设计(8)底层驱动与UI进行关联

STM32单片机项目实例&#xff1a;基于TouchGFX的智能手表设计&#xff08;8&#xff09;底层驱动与UI进行关联 一、概述与代码添加 在TouchGFX中&#xff0c;从Model类执行与应用非UI部分&#xff08;这里称为后端系统&#xff09;的通信。后端系统是从UI接收事件和将事件输入U…

STM32 OLED 显示原理的讲解以及OLED显示汉字与图片的代码

STM 32 OLED 显示原理的讲解以及OLED显示汉字与图片的代码 本文主要涉及OLED显示原理的讲解以及OLED显示汉字与图片的代码。 文章目录 STM 32 OLED 显示原理的讲解以及OLED显示汉字与图片的代码一、 OLED简介1.1 OLED 的特点1.2 OLED 8080并行接口信号线说明1.3 OLED控制器SSD1…

BearPi Std 板从入门到放弃 - 后天篇(3)(ESP8266透传点灯)

简介 电脑搭建一个TCP Server&#xff0c; ESP8266 串口设置好透传模式, 再由TCP Server发送指令控制灯的亮灭; 开灯指令&#xff1a; led_on回车 &#xff1b; 关灯指令: led_off回车 主芯片: STM32L431RCT6 LED : PC13 \ 推挽输出即可 \ 高电平点亮 串口: Usart1 / LPUART E…

arduino与蔽障小车的连接使用

一、 壁障小车的制作 1、 制作壁障小车的准备工作 硬件&#xff1a;Arduino UNO、L298N驱动模块、超声波模块、小车底盘、舵机模块、一块面包板、一些杜邦线。 软件&#xff1a; Arduino UNO的程序下载软件 下面来一张安装好的的图 2、舵机模块的解析认识 本次用的是简单实…

STM32 ESP8266 物联网智能温室大棚 源码PCB原理图 设计文档

资料下载: https://download.csdn.net/download/vvoennvv/88680924 一、概述 本系统以STM32F103C8T6单片机为主控芯片&#xff0c;采用相关传感器构建系统硬件电路。其中使用DHT11温湿度传感器对温度和湿度的采集&#xff0c;MQ-7一氧化碳传感器检测CO浓度&#xff0c;GP2Y101…

HAL库的常用库函数(根据学习而更新)

目录 一、常用的GPIO相关HAL库函数 1、GPIO的初始化 2、配置GPIO引脚输出电平 3、切换指定引脚的电平&#xff0c;电平的翻转 4、读取指定GPIO引脚的电平 5、结构体 GPIO_InitTypeDef &#xff08;引脚&#xff09;定义&#xff1a; 6、高低电平的表示 7、延时函数&…

关于STM32F103C8芯片 2脚 PC13的GPIO无法控制

原因&#xff1a;是因为开了RTC功能。 解决&#xff1a;在STM32CubeMX的 RTC功能里将RTC OUT设置为"No RTC Output"

STM32使用printf重定向到USART

配置你想要重映射的串口&#xff0c;这里用串口1举例子&#xff0c;你完全可以定义成其他串口。 波特率和位数格式完全按照需要定义&#xff0c;这里不要开启dma和中断。 这里建议使用单独生成.c和.h&#xff0c;当然你不生成也完全可以。 /* USER CODE BEGIN 0 */ int __io_p…

RM3100 stm32驱动(硬件i2c)

目录 RM3100接线HAL库I2C函数HAL_I2C_Mem_ReadHAL_I2C_Mem_WriteHAL_I2C_Master_Transmit / HAL_I2C_Master_Receive例子 HSHAKE寄存器 cubemx配置RM3100寄存器驱动最终效果 RM3100接线 原理图 SA0 SA1接地&#xff0c;此时i2c设备地址为0100000&#xff0c;即0x20 如果SA0接…

几种串口扩展电路

一、IIC串口扩展电路 LCT200 是一款可以通过 I2C 接口通讯&#xff0c;拓展 2 路独立串口的通讯芯片&#xff0c;同时也支持通过 2 路串口读写 I2C 接口的数据。LCT200 的封装为 TSSOP-20。 主要功能&#xff1a;⚫ 通过对 I2C 接口读写实现拓展 2 路独立串口功能 ⚫ 通过读写…

STM32存储左右互搏 SPI总线FATS读写FRAM MB85RS2M

STM32存储左右互搏 SPI总线FATS读写FRAM MB85RS2M 在中低容量存储领域&#xff0c;除了FLASH的使用&#xff0c;&#xff0c;还有铁电存储器FRAM的使用&#xff0c;相对于FLASH&#xff0c;FRAM写操作时不需要预擦除&#xff0c;所以执行写操作时可以达到更高的速度&#xff0…

STM32实战之IAP代码升级

目录 1 IAP介绍 2 内存分区 3 整体设计流程图 4 Boot Loader的代码编写 5 APP1代码编写 6 APP2代码编写 stm32内部flash操作相关函数 1 IAP介绍 IAP&#xff08;In Application Programming&#xff09;即在应用编程&#xff0c; IAP 是用户自己的程序在运行过程中…

IAP在编程升级

以STM32F103ZET6为例讲解&#xff0c; FLASH 512KB,SRAM64KB. 让APP程序加载在FLASH里运行&#xff0c;在SRAM运行的先不讲解。 IAP执行流程 当加入 IAP 程序之后&#xff0c;程序运行流程如图。 APP程序的生成步骤 1.APP 程序起始地址设置方法 我们设置起始地址&#xff…

嵌入式——RTC闹钟Alarm

开发流程 配置RTC时钟设置RTC闹钟配置RTC闹钟中断实现中断函数RTC闹钟初始化 // 闹钟外部中断 exti_flag_clear(EXTI_17); exti_init(EXTI_17,EXTI_INTERRUPT,EXTI_TRIG_RISING);// 重置闹钟 rtc_alarm_disable(RTC_ALARM0);rtc_alarm_struct ras; ras.alarm_mask = RTC_ALARM…

普中STM32-PZ6806L开发板(烧录方式)

前言 有两种方式, 串口烧录和STLink方式烧录;串口烧录 步骤 开发板USB转串口CH340驱动板接线到USB连接PC使用自带工具普中自动下载软件.exe烧录程序到开发板 ST Link方式 这种方式需要另外进行供电&#xff0c; 我买的如下&#xff0c;当年用于调试STM8的&#xff0c;也可…

普中STM32-PZ6806L开发板(STM32CubeMX创建项目并点亮LED灯)

简介 搭建一个用于驱动 STM32F103ZET6 GPIO点亮LED灯的任务;电路原理图 LED电路原理图 芯片引脚连接LED驱动引脚原理图 创建一个点亮LED灯的Keil 5项目 创建STM32CubeMX项目 New Project -> 单击 -> 芯片搜索STM32F103ZET6->双击创建 初始化时钟 调试设置 一…

LDO线性稳压器与开关电源的原理

线性稳压器LDO典型代表&#xff1a;LM7805 ,AMS1117&#xff0c;还有一下性能比较好的LDO&#xff1a; 开关稳压器典型代表&#xff1a;LM2596&#xff0c;MP1584,TPS5430&#xff0c;MP2315S LDO靠发热分散能量&#xff0c;纹波较小一般在30mv以下&#xff1b;DCDC通过开关开断…

stm32 HAL库 4096线ABZ编码器

[TOC]目录 ABZ编码器 4096线 买的是这个 AB相代表计数方向&#xff0c;Z代表过零点 cubemx配置 定时器Encoder 也可以选上DMA 中断 Z相GPIO中断 找一个空闲管脚 打开对应中断 代码 不用DMA int main(void) {short Enc_cnt 0;HAL_TIM_Encoder_Start_IT(&ht…

基于Mbed Studio环境下开发STM32

基于Mbed Studio环境下开发STM32 &#x1f4cd;Mbed官网&#xff1a;https://os.mbed.com/ ✨mbed OS是ARM出的一个免费开源的&#xff0c;面向物联网的操作系统。提供了一个定义良好的API来开发C应用程序&#xff1b;集成度很高&#xff0c;类似Arduino&#xff0c;目前并不兼…

USB -- STM32F103 USB VIDEO(视频)Camera同步传输讲解(九)

目录 链接快速定位 前沿 1 描述符修改 1.1 设备描述符修改 1.2 配置描述符修改 1.3 字符串描述符修改 1.4 编译报错修改 2 增加功能函数 2.1 Camera功能模块介绍 2.2 USB复位函数修改 2.3 Speaker_Data_Setup函数修改 2.4 非零端点函数修改 2.5 JEPG数据获取 3…

HC-05蓝牙模块--------手机与STM32通信(代码编写)(上位机配置)保姆级教程

⏩ 大家好哇&#xff01;我是小光&#xff0c;嵌入式爱好者&#xff0c;一个想要成为系统架构师的大三学生。 ⏩因为之前无论是电赛还是做项目&#xff0c;都用到了蓝牙模块&#xff0c;如&#xff1a;手机和stm32的通信&#xff0c;电赛中的双车通信&#xff0c;还是遥感小车的…

STM32——通用定时器脉冲计数实验

1.脉冲计数实验原理 2.从模式配置结构体 typedef struct { uint32_t SlaveMode; /* 从模式选择 / uint32_t InputTrigger; / 输入触发源选择 / uint32_t TriggerPolarity; / 输入触发极性 / uint32_t TriggerPrescaler; / 输入触发预分频 / uint32_t TriggerFilter; / 输入滤波…

STM32逆变器方案

输入电压&#xff1a; 额定输入电压&#xff1a;DC110V 输入电压范围&#xff1a;DC77-137.5V 额定输出参数 电压&#xff1a;200V5%&#xff08;200VAC~240VAC 可调&#xff09; 频率&#xff1a; 42Hz0.5Hz&#xff08;35-50 可调&#xff09; 额定输出容量&#xff1a;1…

普中STM32-PZ6806L开发板(HAL库函数实现-读取内部温度)

简介 主芯片STM32F103ZET6&#xff0c;读取内部温度其他知识 内部温度所在ADC通道 温度计算公式 V25跟Avg_Slope值 参考文档 stm32f103ze.pdf 电压计算公式 Vout Vref * (D / 2^n) 其中Vref代表参考电压&#xff0c; n为ADC的位数&#xff0c; D为ADC输入的数字信号。 实现…

STM32的以太网外设+PHY(LAN8720)使用详解(3):PHY寄存器详解

0 工具准备 1.野火 stm32f407霸天虎开发板 2.LAN8720数据手册 3.STM32F4xx中文参考手册1 PHY寄存器 前面介绍到&#xff0c;站管理接口&#xff08;SMI&#xff09;允许应用程序通过2线时钟和数据线访问任意PHY寄存器&#xff0c;同时该接口支持访问最多32个PHY&#xff0c;也…

STM32学习笔记二十二:WS2812制作像素游戏屏-飞行射击游戏(12)总结

至此&#xff0c;飞行射击游戏已经基本实现该有的功能&#xff0c;已经比较接近早期的商业游戏了。 如果采用脚本&#xff0c;可以完成关卡游戏&#xff0c;如果不用&#xff0c;也可以做成无限挑战游戏。 我们汇总一下制作的过程&#xff1a; 1、建模UML 2、主循环处理过程…

(第48-59讲)STM32F4单片机,FreeRTOS【事件标志、任务通知、软件定时器、Tickless低功耗】【纯文字讲解】【原创】

文章目录 &#x1f534;&#x1f7e1;&#x1f7e2;其他文章链接&#xff0c;独家吐血整理1、纯文字&#xff08;待补充&#xff09; &#x1f534;&#x1f7e1;&#x1f7e2;其他文章链接&#xff0c;独家吐血整理 【吐血总结】FreeRTOS难点、Systick中断-滴答定时器、PendS…

STM32H5培训(一)总览

文章目录 1. 前言2. STM32H5系列MCU的特点和新功能包括性能提升、新外设和安全功能等3. STM32H5系列型号之间的区别和关键资源对比4. 性能和功能亮点6. 开发生态参考&#xff1a; 1. 前言 本篇主要介绍STM32H5系列MCU的特点和新功能&#xff0c;包括全新的M33内核、250M主频处…

stm32的规则采样与注入采样的理解

规则与注入转换 在STM32中&#xff0c;规则采样&#xff08;Regular Conversion&#xff09;和注入采样&#xff08;Injected Conversion&#xff09;是用于模数转换的两种不同模式。 规则采样&#xff08;Regular Conversion&#xff09;&#xff1a;规则采样是STM32中最常用…

基于STM32F103C8T6单片机的DHT11温湿度传感器与OLED显示屏实时动态数据监测系统设计

标题&#xff1a; 摘要&#xff1a; 本文提出了一种利用STM32F103C8T6微控制器&#xff0c;结合DHT11数字温湿度传感器和OLED显示屏实现环境温湿度实时、直观显示的方法。该系统通过低功耗且精确的DHT11传感器获取环境温湿度信息&#xff0c;并借助于STM32F103C8T6强大的处理能…

STM32--中断使用(超详细!)

写在前面&#xff1a;前面的学习中&#xff0c;我们接触了STM32的第一个外设GPIO&#xff0c;这也是最常用的一个外设&#xff1b;而除了GPIO外&#xff0c;中断也是一个十分重要且常用的外设&#xff1b;只有掌握了中断&#xff0c;再处理程序时才能掌握好解决实际问题的逻辑思…

STM32--Wi-Fi插座_风扇_灯

项目需求 两个互相通信的双方&#xff0c;波特率必须相同!!!!!! 通过 ESP8266 模块&#xff0c;实现手机控制 wifi 插座 / 风扇 / 灯。 项目设计 串口 1 用于与 ESP8266 通讯&#xff0c;串口 2 连接 PC &#xff0c;用于打印 log &#xff0c;查看系统状态。 项目实现 注意&a…

STM32单片机入门学习(七)-外部中断-光敏计数

光敏传感器模块: 光敏传感模块一DO端接B14,GND接GND&#xff0c;VCC接VCC,AO不接。 OLED&#xff1a; OLED:SCL接B12,SDA接B13 如图&#xff1a; main.c #include "stm32f10x.h" #include "Delay.h" //delay函数所在头文件 #include "OLED.…

【tool 2】stm32通过基础定时器进行代码运行时间检测,ns级精度

1.整体方案 该方案主要使用基础定时器的计数来进行运行时间的检测。stm32基础定时器为16位&#xff0c;可以记到2^1665535&#xff0c;本人所使用的stm32h743给基础定时器的时钟频率为200mhz&#xff0c;每2.5ns会产生一次计数&#xff0c;精度很高。如果把让定时器在一个比较长…

STM32F4系列单片机库函数模板工程创建

目录 一、工程配置 1、新建工程 2、芯片选择 3、工程子文件夹创建 &#xff08;1&#xff09;FWLIB文件夹添加文件 &#xff08;2&#xff09;CORE文件夹添加文件 &#xff08;3&#xff09;USER文件夹添加文件 4、工程设置 &#xff08;1&#xff09;工程中添加文件夹…

【物联网】手把手完整实现STM32+ESP8266+MQTT+阿里云+APP应用——第3节-云产品流转配置

&#x1f31f;博主领域&#xff1a;嵌入式领域&人工智能&软件开发 本节目标&#xff1a;本节目标是进行云产品流转配置为后面实际的手机APP的接入做铺垫。云产品流转配置的目的是为了后面能够让后面实际做出来的手机APP可以控制STM32/MCU&#xff0c;STM32/MCU可以将数…

使用STM32实现多设备UART通信指南

本文将介绍如何在STM32上实现多设备UART通信&#xff0c;包括配置多个UART接口、数据的发送和接收&#xff0c;以及如何有效地进行多设备通信。我们将使用STM32CubeMX和HAL库来演示配置过程&#xff0c;并给出相关的示例代码和技巧。UART&#xff08;Universal Asynchronous Re…

在STM32中集成TSL2561光强传感器的开发和调试

在STM32中集成TSL2561光强传感器的开发和调试是一个常见的应用场景。TSL2561是一款数字光传感器&#xff0c;能够测量可见光和红外光的光强&#xff0c;并通过I2C接口将数据传输给微控制器。下面将为您介绍在STM32中集成TSL2561传感器的开发步骤&#xff0c;并附上相应的代码示…

STM32的DHT11

DHT11基础 DHT11数字温湿度传感器是一款含有已校准数字信号输出的温湿度复合传 感器。它应用专用的数字模块采集技术和温湿度传感技术&#xff0c;确保产品具有极高 的可靠性与卓越的长期稳定性。传感器包括一个电阻式感湿元件和一个NTC测 温元件&#xff0c;并与一个高性能…

STM32 AD5693R开发

0 AD5693R介绍 1 IIC介绍 2线串行总线协议的工作方式如下&#xff1a; 1.当SCL为高电平时&#xff0c;当SDA线路发生高到低转换时&#xff0c;主机通过建立启动条件来启动数据传输。以下字节是地址字节&#xff0c;它由 7 位从地址组成。与发送地址对应的从地址在第 9 个时钟脉…

FreeRTOS 实时操作系统第十二讲 - 计数信号量

一、信号量的概念 1、信号量的基本概念 消息队列是实现任务与任务或任务与中断间通信的数据结构&#xff0c;可类比裸机编程中的数组 信号量是实现任务与任务或任务与中断间通信的机制&#xff0c;可以类比裸机编程中的标志位 信号量 (semaphore) 可以实现任务与任务或任务与中…

HAL——SPI

学习目标 掌握SPI配置方式掌握SPI读写操作 学习内容 需求 SPI配置 打开SPI1,选中全双工模式。观察下方自动生成的引脚&#xff0c;是否和自己开发板引脚对应。 修改引脚&#xff0c;来动右侧芯片引脚视图&#xff0c;找到开发板对应引脚&#xff0c;进行修改。 观察修改后的…

Proteus 各版本安装指南

Proteus下载链接 https://pan.baidu.com/s/1vHgg8jK9KSHdxSU9SDy4vQ?pwd0531 1.鼠标右击【Proteus8.15(64bit&#xff09;】压缩包&#xff08;win11及以上系统需先点击“显示更多选项”&#xff09;【解压到Proteus8.15(64bit&#xff09; 】。 2.打开解压后的文件夹&#…

普中STM32-PZ6806L开发板(有点悲伤的故事)

简介 关于我使用 普中STM32-PZ6806L做了做了一些实验, 不小心输入12V&#xff0c;导致核心板等被烧坏, 为了利用电路和资源, 搭建了STM32F103CBT6并使用普中STM32-PZ6806L上面没有烧坏的模块的故事。 普中STM32-PZ6806L开发板 这块的STM32F103ZET6部分算是Closed了, 不准备换核…

FreeRTOS——信号量

学习目标 理解信号量的概念掌握信号量发流程掌握二进制信号量熟悉计数型信号量掌握互斥信号量熟悉递归互斥信号量学习内容 概念 在 FreeRTOS 中,信号量(Semaphore)是一种用于实现任务之间同步和资源共享的机制。它是一种计数型的同步原语,用于控制对共享资源的访问和保护…

【PHP】PHP实现与硬件串口交互,接收硬件发送的实时数据

一、前言 目的&#xff1a;借助虚拟串口软件&#xff08;VSPD&#xff09;模拟硬件串口发送数据&#xff0c;使用PHP语言实现接收硬件发送的数据。 我这里的需求是连接天平&#xff0c;把天平的称量数据实时的传送到PHP使用。 使用工具&#xff1a;vspd串口调试工具 使用语…

增量式旋转编码器在STM32平台上的应用

背景 旋钮是仪器仪表上一种常见的输入设备&#xff0c;它的内部是一个旋转编码器&#xff0c;知乎上的这篇科普文章对其工作原理做了深入浅出的介绍。 我们公司的功率分析仪的前面板也用到了该类设备&#xff0c;最近前面板的MCU从MSP430切换成了STM32&#xff0c;因此我要将…

【STM32】STM32学习笔记-PWM驱动LED呼吸灯 舵机 直流电机(16)

00. 目录 文章目录 00. 目录01. 输出比较相关API1.1 TIM_OC1Init1.2 TIM_OCInitTypeDef结构体1.3 TIM_OCMode1.4 TIM_OutputState1.5 TIM_OutputNState1.6 TIM_OCPolarity1.7 TIM_OCNPolarity1.8 TIM_OCPolarity1.9 TIM_OCNPolarity 02. PWM实现呼吸灯接线图03. PWM实现呼吸灯示…

C语言程序设计(数据类型)

C 数据类型 在 C 语言中&#xff0c;数据类型指的是用于声明不同类型的变量或函数的一个广泛的系统。变量的类型决定了变量存储占用的空间&#xff0c;以及如何解释存储的位模式。 C 中的类型可分为以下几种&#xff1a; 序号类型与描述1基本数据类型 它们是算术类型&#x…

RTC wake sotp

static void RTC_Config(void) { RTC_InitTypeDef RTC_InitStructure; RTC_TimeTypeDef RTC_TimeStructure; uint32_t LSIFreq 0; RCC_BackupResetCmd(ENABLE);RCC_BackupResetCmd(DISABLE);//增加避免 复位脚引起初始化不通过&#xff01; /* Enab…

【正点原子】STM32电机应用控制学习笔记——8.FOC简介

FOC是适用于无刷电机的&#xff0c;而像有刷电机&#xff0c;舵机&#xff0c;步进电机是不适用FOC的。FOC是电机应用控制难度最大的部分了。 一.FOC简介&#xff08;了解&#xff09; 1.介绍 FOC&#xff08;Filed Oriented Control&#xff09;即磁场定向控制&#xff0c;…

基于STM32F103C8T6单片机的1秒定时器设计与应用

标题&#xff1a;基于STM32F103C8T6单片机的1秒定时器设计与应用 摘要&#xff1a; 本文主要探讨了如何在STM32F103C8T6微控制器上利用内部定时器实现精确的1秒钟定时功能&#xff0c;并通过实际项目实施&#xff0c;验证其稳定性和可靠性。首先介绍了STM32F103C8T6单片机的特…

【正点原子STM32连载】 第三十章 停止模式实验 摘自【正点原子】APM32E103最小系统板使用指南

1&#xff09;实验平台&#xff1a;正点原子APM32E103最小系统板 2&#xff09;平台购买地址&#xff1a;https://detail.tmall.com/item.htm?id609294757420 3&#xff09;全套实验源码手册视频下载地址&#xff1a; http://www.openedv.com/docs/boards/xiaoxitongban 第三…

STM32——高级定时器输出比较模式实验

1高级定时器输出比较模式实验 1.1高级定时器输出比较模式实验原理 1.2高级定时器输出比较模式实验实验配置步骤 1&#xff0c;配置定时器基础工作参数 HAL_TIM_OC_Init() 2&#xff0c;定时器PWM输出MSP初始化 HAL_TIM_OC_MspInit() 配置NVIC、CLOCK、GPIO等 3&#xff0c;配…

STM32——串口(UART)使用

写在前面&#xff1a;本节我们学习STM32F1串口&#xff0c;说实话&#xff0c;对于一个初学者来说&#xff0c;在学习这节内容的时候&#xff0c;牵涉的一些知识使我也很困惑。特别是利用HAL库的中断回调机制。至此&#xff0c;对于有些内容我依旧是感到迷惑&#xff0c;还是希…

zybo——嵌入式笔记

zynq——嵌入式学习笔记(GPIO之MIO控制LED) GPIO是一个外设&#xff0c;用来对器件的引脚作观测&#xff08;input&#xff09;以及控制&#xff08;output&#xff0c;通过MIO模块&#xff09;。 MIO(Multiuse I/O),将来自PS外设和静态存储器接口的访问多路复用到PS的引脚上。…

STM32-02-STM32基础知识

文章目录 STM32基础知识1. STM32F103系统架构2. STM32寻址范围3. 存储器映射4. 寄存器映射 STM32基础知识 1. STM32F103系统架构 STM32F103 STM32F103是ST公司基于ARM授权Cortex M3内核而设计的一款芯片&#xff0c;而Cortex M内核使用的是ARM v7-M架构&#xff0c;是为了替代…

基于stm32f4的蓝牙控制小车

1. 引言 蓝牙的创始人是瑞典爱立信公司&#xff0c;蓝牙技术是一种无限数据与语音通信的开放性全球规范&#xff0c;它以低成本的近距离无线连接为基础&#xff0c;为固定与移动设备通信环境建立一个特别连接。手机之间通过蓝牙实现数据共享成为常理&#xff0c;将手机变为遥…

STM32时钟树

一、四个时钟源 二、时钟树 各类时钟简括&#xff1a; 1.HSE时钟&#xff08;高速外部时钟&#xff09;&#xff1a;来源为外部无源晶振&#xff0c;通常速度8M。 2.HSI时钟&#xff08;高速内部时钟&#xff09;&#xff1a;来源为芯片内部&#xff0c;大小为8M&#xff0c;当…

通用外设-2.8‘TFT屏的使用

前言 一、验证连接是否正确 二、更改自己想用的图像 1.取模软件 Image2Lcd 2.9 的使用 2.使用 总结 前言 本文在中景园的代码上改写而来&#xff0c;主要记录下使用记录 一、验证连接是否正确 1.按内容说明进行线路连接 2.运行程序&#xff0c;因为内部有图片样本&…

CAN 五: CAN编程实践

1、CAN基本驱动步骤 (1)CAN参数初始化 工作模式、波特率等函数&#xff1a;HAL_CAN_Init (2)使能CAN时钟和初始化相关引脚 GPIO模式设为复用功能模式函数&#xff1a;HAL_CAN_MspInit(CAN的初始化回调函数) (3)设置过滤器 过滤器的配置函数&#xff1a;HAL_CAN_ConfigFil…

(五)STM32 按键输入实验及 GPIO做普通 IO 的注意事项

目录 1. 按键硬件连接 2. 按键软件设计 3. 按键消抖 4. 使用 IO 口时的 注意事项&#xff08;踩坑&#xff09; 上一节我们介绍了 STM32F1 的 IO 口作为输出的使用&#xff0c;这一章&#xff0c;我们将介绍如何使用 STM32F1 的 IO 口作为输入用。在本章中&#xff0c;我们…

【STM32入门】4.2对射红外传感器计次

1.接线方式 主要是编写传感器的驱动、配合OLED&#xff0c;每遮挡对射红外传感器&#xff0c;OLED屏幕的计数就加一。 2.驱动编写 首先新建.c文件和.h文件&#xff0c;命名为CountSensor 国际惯例&#xff0c;.c文件内要包含stm32.h头文件&#xff0c;然后编写 CountSensor_…

鸿蒙南向开发环境的搭建

在嵌入式开发中&#xff0c;很多开发者习惯于使用Windows进行代码的编辑&#xff0c;比如使用Windows的Visual StudioCode进行OpenHarmony代码的开发。但当前阶段&#xff0c;大部分的开发板源码还不支持在Windows环境下进行编译&#xff0c;如Hi3861、Hi3516系列开发板。因此&…

STM32----HAL库函数

1.STM32系统框架 1.1 Cortex-M内核&芯片 1.2 F1系统框架 4个主动单元4个被动单元 AHB&#xff1a;高级高性能总线 APH&#xff1a;高级外围总线 其中 1 为 主动单元 &#xff0c; 2为被动单元 总线时钟频率&…

KEI5许可证没到期,编译却出现Error: C9555E: Failed to check out a license.问题解决

一、编译出现如下报错 二、检查一下许可证 三、许可证在许可日期内&#xff0c;故应该不是许可证的问题 四、检查一下编译器&#xff0c;我用的是这个&#xff0c;这几个编译器的区别其实我不太明白&#xff0c;但我把问题解决是选的这个 五、找到编译器的路径&#xff0c;去复…

FreeRTOS之列表及列表项实验(基于stm32f103c8t6)

B站正点原子视频链接&#xff1a; 第23讲 列表项的插入和删除实验_哔哩哔哩_bilibili #include "sys.h" #include "delay.h" #include "usart.h" #include "led.h" #include "FreeRTOS.h" #include "task.h" #in…

pal库 IIC

数据接收的流程 下面的流程可以用一个接口来实现 一个函数接口 第一个就是从机的地址 第二个参数就是接收数据的缓冲区 第三个参数就是 接收数据的大小 RXNE和BTF标志位 当两个寄存器&#xff08;TDR&#xff09;和移位寄存器都满的时候标志位BTF就为1 表示缓冲区已满 ACK和AN…

【STM32读取HX711的函数】

[两个普通IO读取HX711数据的函数-主芯片是STM32F407] 以下是.h文件中的内容&#xff1a; #ifndef __hx711_h #define __hx711_h #define HX711CH1_DIO_GROUP GPIOA #define HX711CH1_CLK_GROUP GPIOA #define HX711CH1_DIO_PIN GPIO_Pin_1 #define HX711CH1_CLK_PIN GPIO_Pin…

stm32——串口通信的分类以及串口发送数据的原理和配置

stm32——串口 本文只要涉及STM32的串口相关内容比如串口通信的分类&#xff0c;以及串口发送数据的原理&#xff0c;同时包括对USART1的配置代码 文章目录 stm32——串口一、 通信的介绍1.1 并行通信1.2 串行通信1.2.1 串行通信三种传送方式1.2.2 串行通信的通信方式1.2.3 常见…

ISO11898-闭环高速CAN网络 (125K~1Mbps)

ISO11898 标准的物理框图如下图 可理解为一个高速闭环 CAN 总线网络&#xff1b;CAN 闭环总线网络允许总线最大长度为 40m;最高速度为 1Mbps;可以看到总线的两端各有一个 120Ω 的电阻&#xff0c;此电阻作为阻抗匹配功能&#xff0c;以减少回波反射;节点就是不同的设备&#…

【STM32】STM32学习笔记-I2C通信协议(31)

00. 目录 文章目录 00. 目录01. I2C简介02. I2C主要特点03. I2C硬件电路04. I2C时序基本单元05. I2C时序波形图06. 附录 01. I2C简介 I2C(Inter&#xff0d;Integrated Circuit)总线是一种由NXP&#xff08;原PHILIPS&#xff09;公司开发的两线式串行总线&#xff0c;用于连接…

【STM32】I2C通信

基本的任务是&#xff1a;通过通信线&#xff0c;实现单片机读写外挂模块寄存器的功能。其中至少要实现在指定位置写寄存器和在指定的位置读寄存器这两个功能。 异步时序的优点&#xff1a;省一根时钟线&#xff0c;节约资源&#xff1b;缺点&#xff1a;对事件要求严格&#…

STM32的以太网外设+PHY(LAN8720)使用详解(5):MAC及DMA配置

0 工具准备 1.野火 stm32f407霸天虎开发板 2.LAN8720数据手册 3.STM32F4xx中文参考手册1 MAC及DMA配置 1.1 使能ETH时钟 stm32的ETH外设挂载在AHB1总线上&#xff0c;位于RCC_AHB1ENR的bit25-bit27&#xff1a; 相关语句如下&#xff1a; RCC_AHB1PeriphClockCmd(RCC_AHB1…

STM32 基础知识(探索者开发板)--103讲 通用定时器

定时器溢出时间计算方法: Tout ((arr 1) * (psc 1)) / Ft us arr:自动重装载值 psc:时钟预分频数 定时器相关实验通用步骤 1.定时器初始化 a.定义结构体句柄 b.设基地址 c.设分频系数 d.设自动重装载值 e. 设计数模式 f.初始化定时器 2.外设模式配置 a.设置模式 d.映…

oled显示汉字字体 形状 使用

oled模块的工作原理 oled的上方四个接口是IIC总线 通过IIC总线可以进行数据的传输 在OLED模块背后有一个芯片叫做SSD1306 这个芯片内部有1024个字节的RAM 对应到右边的小屏幕上就有1024个字节 一个字节八个bit位 每一个bit位就对应着一个小点 我们只需要往oled的RAM上写入数据就…

STM32 学习(一)新建工程

本课程使用的stm32型号 引脚定义&#xff0c;有FT能接5v&#xff0c;没有FT能接3.3v 启动配置 第二种启动模式中&#xff0c;系统存储器中存放了一部分Bootloader程序&#xff0c;该程序可以接收串口的数据&#xff0c;然后刷新到主闪存中&#xff0c;这样就可以使用串口下载程…

【理论】STM32定时器时间计算公式 +【实践】TIM中断1s计时一次

前言&#xff1a;定时器TIM的详细知识点见我的博文&#xff1a;11.TIM定时中断-CSDN博客 STM32定时器时间计算公式 公式解释&#xff1a; ARR&#xff08;TIM_Period&#xff09;&#xff1a;自动重装载值&#xff0c;是定时器溢出前的计数值 PSC&#xff08;TIM_Prescaler&…

STM32启动解析

启动方式对的不同下载模式 STM32可以通过BOOT引脚的配置&#xff0c;来选择不同的启动模式------对应不同的下载方式。 仿真器下载—— 内部FLASH的启动方式 串口下载 —— 系统存储器的启动方式 内部SRAM一般不用&#xff0c;不讲 启动过程 以内部FLASH的启动方式为例&am…

RT-Thread:ADC 框架应用,通过 STM32CubeMX 配置 STM32 ADC驱动

关键词&#xff1a;ADC,RT-Thread ADC,STM32 ADC应用 说明&#xff1a;本笔记是记录如何开启 RT-Thread 框架的ADC功能&#xff0c;使用系统自带的ADC函数&#xff0c;并通过 STM32CubeMX 配置 STM32 ADC驱动 。 1. 打开board.h 文件&#xff0c;找到ADC 使用配置的流程&…

STM32之OLED显示

一、模块介绍 1、常见的显示设备 LED、数码管、点阵、LCD屏(1602/12864)、OLED屏(消费电子) 2、OLED屏的概述 OLED&#xff0c;即有机发光二极管&#xff08;Organic Light-Emitting Diode&#xff09;&#xff0c;又称为有机电激光显示&#xff08;Organic Electroluminesenc…

stm32学习笔记:USART串口通信

1、串口通信协议&#xff08;简介软硬件规则&#xff09; 全双工&#xff1a;打电话。半双工&#xff1a;对讲机。单工&#xff1a;广播 时钟&#xff1a;I2C和SPI有单独的时钟线&#xff0c;所以它们是同步的&#xff0c;接收方可以在时钟信号的指引下进行采样。串口、CAN和…

Linux第38步_编译“正点原子移植好的uboot”

uboot的全称是Universal Boot Loader&#xff0c;uboot是一个遵循GPL协议的开源软件&#xff0c;uboot是一个裸机代码&#xff0c;可以看作是一个裸机综合例程。现在的 uboot 已经支持液晶屏、网络、USB等高级功能。 uboot官方的uboot源码是给所有的半导体厂商准备的。ST公司会…

STM32单片机基本原理与应用(三)

矩阵键盘工作原理 矩阵键盘由多个独立按键组成&#xff0c;按键的一端接地&#xff0c;一端接MCU的GPIO。当按键没有被按下时&#xff0c;电路其实是一个断路&#xff0c;将单片机该引脚设置成输入上拉状态&#xff0c;读到的电平为高电平。当按下按键时&#xff0c;引脚会被拉…

【正点原子STM32】STM32时钟系统(时钟树、时钟源、分频器和倍频系数、锁相环、STM32CubeMX时钟树、系统时钟配置步骤)

一、认识时钟树 1.1、什么是时钟&#xff1f;1.2、认识时钟树&#xff08;F1&#xff09;1.3、认识时钟树&#xff08;F4&#xff09;1.4、认识时钟树&#xff08;F7&#xff09;1.5、认识时钟树&#xff08;H7&#xff09; 二、配置系统时钟 2.1、系统时钟配置步骤2.2、外设…

stm32--simulink开发之--timer的学习,硬件输入中断,触发事件,STM32通用定时器之输出比较模式与PWM模式(重要理解)

下面三个模块&#xff0c;一个比一个高级&#xff0c;当然使用是越来越简单 STM32F4xx系列控制器有2个高级控制定时器、10个通用定时器和2个基本定时器(推荐学习) 1&#xff0c;第一个模块&#xff1a;Timer 浅层理解&#xff1a;计数&#xff0c;不停的触发 Starts timer co…

STM32 CubeIDE 使用 CMSIS-DAP烧录 (方法2--外部小工具)

前言&#xff1a; 本篇所用方法&#xff0c;需要借助一个外部的工具小软件。 优点&#xff1a;烧录更稳定; 缺点&#xff1a;不能在线仿真调试。 下面链接&#xff0c;是另一种方法&#xff1a;修改CubeIDE调试文件。能在CubeIDE直接烧录、仿真&#xff0c;但不稳定。…

【热学】欧阳欣院士北京大学

笔记正在逐渐完善Ing~博主主页还有其他上万字精品笔记欢迎自取 ​编辑P1第1讲 绪论 33:01 关于热学的基本概念和原理的讲解。热学是一门基础科学&#xff0c;它涉及到热运动和热力学的规律。热运动是指物体内部粒子的无序运动&#xff0c;热力学是研究热运动的宏观规律。视频介…

STM32面试体验和题目

目录 一、说一下你之前的工作主要干了什么&#xff1f; 二、stm32有关的知识点 1.stm32的外设有哪一些 2.你的毕业论文的项目里面是怎么设计的 三&#xff0c;C语言的考察 1.写一个结构体&#xff08;结构体的内容自由发挥&#xff09; 2.写一个指针型的变量 3.结构体是…

IRQ Handler 的使用——以USART串口接收中断分别在标准库与HAL库版本下的举例

前言&#xff1a; 1.中断系统及EXTI外部中断知识点见我的博文&#xff1a; 9.中断系统、EXTI外部中断_eirq-CSDN博客文章浏览阅读301次&#xff0c;点赞7次&#xff0c;收藏6次。EXTI&#xff08;Extern Interrupt&#xff09;外部中断EXTI可以监测指定GPIO口的电平信号&…

普中STM32-PZ6806L开发板(HAL库函数实现-PWM呼吸灯)

简介 实现PWM呼吸灯。 主芯片 STM32F103ZET6呼吸灯引脚 : PC7电路原理图 LED8 电路图 LED8 与 主芯片连接图 其他知识 公式 PWM周期公式: Tpwm ( (ARR 1) * (PSC 1) ) / Tclk Tclk为定时器的输入时钟频率 Tout则为定时器溢出时间 ARR为计数周期 PSC为预分频器的值…

Arduino stm32 USB CDC虚拟串口使用示例

Arduino stm32 USB CDC虚拟串口使用示例 &#x1f4cd;相关篇《STM32F401RCT6基于Arduino框架点灯程序》&#x1f516;本开发环境基于VSCode PIO&#x1f33f;验证芯片&#xff1a;STM32F401RC⌛USB CDC引脚&#xff1a; PA11、 PA12&#x1f527;platformio.ini配置信息&…

【STM32】TIM1在电机应用时的注意事项

互补通道OC和OCN的输出极性 OC1和OC1N同时使能的时候&#xff0c;两个才是互补的&#xff0c;OC相对OCREF高电平有效&#xff0c;OCN相对OCREF低电平有效。当OC1或OC1N只选中一个通道&#xff0c;那么就没有互补的概念&#xff0c;都是OCxREF有效时OC或OC1N有效。 举例&#x…

STM32——F407定时器概述

1 定时器分类 定时器类型数量位号位宽时钟捕获/比较输出DMA请求计数互补输出基本2TIM6,TIM716bitAPB1-有递增-通用2TIM2,TIM532bitAPB14通道有递增、递减、中心对齐-通用2TIM3,TIM432bitAPB14通道有递增、递减、中心对齐-通用1TIM916bitAPB14通道有递增-通用2TIM10、TIM1116bi…

基于单片机的语音识别自动避障小车(论文+源码)

1.系统设计 此次基于单片机的语音识别自动避障小车&#xff0c;以STC89C52单片机作为系统的主控制器&#xff0c;利用超声波模块来实现小车与障碍物距离的测量并通过LCD液晶显示&#xff0c;当距离低于阈值时会通过WT588语音模块进行报警提示&#xff0c;并且小车会后退来躲避…

基于STM32+QT设计的无人超市消费系统_139

基于STM32+QT设计的无人超市消费系统 一、前言 1.1 研究背景 随着科学技术的不断提高,计算机科学日渐成熟,其强大的功能已为人们深刻认识,它已进入人类社会的各个领域并发挥着越来越重要的作用。 超市形式在我国于20世纪90年代初期起步,现已成为我国零售业的一种重要形态…

FreeRTOS的 vTaskDelay() 函数和 vTaskDelayUntil() 函数

关于两个函数 vTaskDelay() 函数&#xff1a;相对延时&#xff0c;即相对于当前时间进行延时 vTaskDelayUntil() 函数&#xff1a;绝对延时&#xff0c;即相对于上一次的唤醒时间进行延迟 这两个函数延时都是延时节拍数的&#xff01;而每个节拍多长时间可见 FreeRTOSConfig…

用VSCode玩STM32的烧录工具 CooCox Cortex Flash Programmer

一、下载软件 经热心兄弟推荐的版本&#xff0c;不知道有没有版权&#xff0c;如有版权问题&#xff0c;请通知删除。 CSDN - 0积分下载&#xff1a;https://download.csdn.net/download/qq_49053936/88744187 二、生成bin文件 插件不同&#xff0c;方法有所不同&#xff0c;各…

STM32L051使用HAL库操作实例(14)- ADC采集电压

目录 一、前言 二、ADC外设简要说明 三、STM32CubeMX配置&#xff08;本文使用的STM32CubeMX版本为6.1.2&#xff09; 1.MCU选型 2.时钟使能 3.外部时钟配置 4.串口配置 5.ADC引脚配置 6.配置STM32CubeMX生成工程文件 7.点击GENERATE CODE生成工程文件 四、工程源码 …

STM32-调用 vTaskStartScheduler API 后出现 HardFault

STM32 移植 FreeRTOS 后调用 vTaskStartScheduler() 后出现 HardFault 异常。 原因分析&#xff1a; FreeRTOS 配置头文件 FreeRTOSConfig.h 中与中断有关的配置和通过系统接口 void NVIC_PriorityGroupConfig(uint32_t NVIC_PriorityGroup) 设置的中断分组冲突。 /* The lo…

【驱动】I2C驱动分析(六)-I2C驱动模板

前言 Linux I2C驱动是嵌入式Linux驱动开发人员经常需要编写的一种驱动&#xff0c;因为凡是系统中使用到的I2C设备&#xff0c;几乎都需要编写相应的I2C驱动去配置和控制它&#xff0c;例如 RTC实时时钟芯片、音视频采集芯片、音视频输出芯片、EEROM芯片、AD/DA转换芯片等等。…

【江科大】STM32:USART串口(理论部分)上

串口 全双工&#xff1a;可以进行同步通信 单端信号&#xff1a;信号线传输的就是单端信号。&#xff08;也就是与地线&#xff08;GND&#xff09;的电势差&#xff09; 缺点&#xff1a;防干扰能力差 原因&#xff1a;当信号从A点传输到B点&#xff0c;理想条件是A&#xff0…

一款相对比较强大的国产ARM单片机HC32F4A0

已经用了3年的HC32F4A0&#xff0c;已经对它比较熟悉了&#xff0c;与STM32相比它的外设使用这些的确是挺大大&#xff0c;不像GD32一类的单片机很多都能兼容STM32。用久了之后就更喜欢用HC32F4A0&#xff0c;功能强大&#xff0c;外设使用灵活&#xff0c;用点向FPGA靠拢的感觉…

STM32CubeMX教程11 RTC 实时时钟 - 入侵检测和时间戳

目录 1、准备材料 2、实验目标 3、实验流程 3.0、前提知识 3.1、CubeMX相关配置 3.1.1、时钟树配置 3.1.2、外设参数配置 3.1.3、外设中断配置 3.2、生成代码 3.2.1、外设初始化调用流程 3.2.2、外设中断调用流程 3.2.3、添加其他必要代码 4、常用函数 5、烧录验…

【IAP】STM32不同容量大小芯片页大小不一致,擦除需注意

今天升级完发现跳转不了&#xff0c;然后用JLINK读取发现APP区域前1K也就是0X400的空间是空的&#xff0c;而后面的都能和正常APP大小对应&#xff0c;所以看是哪里多擦了一块 FLASH_Status CAN_BOOT_ErasePage(uint32_t StartPageAddr,uint32_t EndPageAddr) {uint32_t i;FLA…

【STM32调试】寄存器调试不良问题记录持续版

STM32寄存器调试不良问题记录 NVIC&#xff08;内嵌的中断向量控制器&#xff09;EXTI&#xff08;外部中断/事件&#xff09; 记录一些stm32调试过程中&#xff1a;不易被理解、存在使用误区、不清不楚、是坑、使用常识等方面的一些记录。本记录只包含stm32的内核以及外设等寄…

stm32 FOC 电机介绍

今年开始学习foc控制无刷电机&#xff0c;这几天把所学整理一下&#xff0c;记录一下知识内容。 前言: 为什么要学习FOC? 1.电机控制是自动化控制领域重要一环。 2.目前直流无刷电机应用越来越广泛&#xff0c;如无人机、机械臂、云台、仿生机器人等等。 需要什么基础&…

STM32标准库开发——串口发送/单字节接收

USART基本结构 串口发送信息 启动串口一的时钟 RCC_APB2PeriphClockCmd(RCC_APB2Periph_USART1,ENABLE);初始化对应串口一的时钟&#xff0c;引脚&#xff0c;将TX引脚设置为复用推挽输出。 RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA,ENABLE); GPIO_InitTypeDef GPIO_In…

超详细!!STM32-ADC模数转换器-驱动内部温度传感器

一、模数转换器概述 在STM32微控制器系列中&#xff0c;ADC&#xff08;Analog-to-Digital Converter&#xff09;是一个重要的外设模块&#xff0c;它允许微控制器将模拟信号转换成数字信号以进行处理。模拟信号–>数字信号。    MCU只能处理数字量(10011001)&#xff0c…

stm32:pwm output模块,记录一下我是用smt32,输出pwm波的记录--(实现--重要)

我是实现了输出pwm波&#xff0c;频率固定&#xff0c;占空比可以不断调整的方法&#xff0c;将PA0接到示波器上&#xff0c;可以看到是一个标准的PWM波&#xff0c;如图下面示波器图。 1&#xff0c;首先是ioc的配置 我刚开始设置的分频的倍数是7199&#xff0c;使得分频的太…

[嵌入式软件][启蒙篇][仿真平台] STM32F103实现IIC控制OLED屏幕

上一篇&#xff1a;[嵌入式软件][启蒙篇][仿真平台] STM32F103实现LED、按键 [嵌入式软件][启蒙篇][仿真平台] STM32F103实现串口输出输入、ADC采集 [嵌入式软件][启蒙篇][仿真平台]STM32F103实现定时器 [嵌入式软件][启蒙篇][仿真平台] STM32F103实现IIC控制OLED屏幕 文章目…

硬件I2C驱动OLED屏_使用STM32Cube软件生成的HAL库代码

学习链接&#xff1a;硬件I2C驱动OLED屏_使用STM32Cube软件生成的HAL库代码&#xff0c;已测试。 使用的单片机是 STM32F103C6T6&#xff0c;代码已上传。

STM32 freertos 使用软件模拟串口uart

如题&#xff0c;为什么要这样做&#xff1f; 最近做的一个项目上使用了74HC595作为指示灯板使用&#xff1b; 这个灯板与驱动板是通过排线连接&#xff0c;排线约25cm长&#xff1b; 在实验室测试一切正常&#xff0c;发到客户手上使用就出现了某个LED跳动情况&#xff1b;…

Jlink+OpenOCD+STM32 Vscode 下载和调试环境搭建

对于 Mingw 的安装比较困难&#xff0c;国内的网无法正常在线下载组件&#xff0c; 需要手动下载 x86_64-8.1.0-release-posix-seh-rt_v6-rev0.7z 版本的软件包&#xff0c;添加环境变量&#xff0c;并将 mingw32-make.exe 名字改成 make.exe。 对于 OpenOCD&#xff0c;需要…

【STM32】重定向printf函数

【STM32】重定向printf函数 重定义fputc到串口 /******************************************************************************************/ /* 加入以下代码, 支持printf函数, 而不需要选择use MicroLIB */#if 1 #include <stdio.h> #if (__ARMCC_VERSION > 6…

WouoUI-PageVersion 一个用于快速构建具有丝滑OLED_UI动画的项目

WouoUI-PageVersion 写在前面 简介&致谢 Air001的TestUI例子的b站的演示视频 Air001的LittleClock例子的b站演示视频: https://www.bilibili.com/video/BV1J6421g7H1/ Stm32的TestUI例子的b站演示视频: https://www.bilibili.com/video/BV1mS421P7CZ/ 所有演示的工程文…

STM32连接阿里云物联网平台

文章目录 引言一、STM32连接阿里云物联网平台思路二、ESP8266烧录固件三、使用AT指令连接阿里云物联网平台四、STM32环形串口缓冲区驱动程序五、STM32连接阿里云驱动程序 引言 连续写了两篇关于阿里云连接的文章&#xff0c;都是使用Arduino ESP8266 & Arduino ESP32的方式…

STM32F407移植OpenHarmony笔记8

继上一篇笔记&#xff0c;成功开启了littlefs文件系统&#xff0c;能读写FLASH上的文件了。 今天继续研究网络功能&#xff0c;让控制台的ping命令能工作。 轻量级系统使用的是liteos_m内核lwip协议栈实现网络功能&#xff0c;需要进行配置开启lwip支持。 lwip的移植分为两部分…

ALINX黑金AXU3EGB 开发板用户手册 CAN接口信号方向标识错误说明

如上篇文章 CAN收发器 SN65HVD232 的D R引脚方向是 D是输入&#xff0c;R是输出。 https://blog.csdn.net/zhengwenbang/article/details/136151668?spm1001.2014.3001.5501 因此 ALINX黑金AXU3EGB 用户手册 Page 43页 图 3-10-1 PS 端 CAN 收发芯片的连接示意图&#xff0c;…

STM32的分类和选型

F系列&#xff08;主要用于普通应用&#xff09; STM32F0xx&#xff1a;低成本、低功耗&#xff0c;适用于成本敏感和低功耗的应用。STM32F1xx&#xff1a;中低端微控制器&#xff0c;具有丰富的外设和良好的性能。STM32F2xx&#xff1a;高性能微控制器&#xff0c;适用于要求…

物联网ARM开发-STM32之RTC浅谈

RTC 一.RTC简单介绍 RTC好比我们用来记录时间的一个钟表&#xff0c;他里面有年月日&#xff0c;还可以记录星期&#xff0c;小时&#xff0c;分钟等。是Real Time Clock的缩写&#xff0c;译为实时时钟&#xff0c;本质上是一个独立的定时器。 1. 1 与通用定时器的区别 可以…

正点原子-STM32定时器学习笔记(1)未完待续

1. 通用定时器简介&#xff08;F1为例&#xff09; F1系列通用定时器有4个&#xff0c;TIM2/TIM3/TIM4/TIM5 主要特性&#xff1a; 16位递增、递减、中心对齐计数器&#xff08;计数值&#xff1a;0~65535&#xff09;&#xff1b; 16位预分频器&#xff08;分频系数&#xff…

国产航顺HK32F030M: 超声波测距模块串口通信数据接收与处理

参考代码 /************************************************************************************************** * file usart_async_tx_no_int_rx_rxneint.c * brief 异步串口通信例程, 通过查询TXE标志发送数据,通过RXNE中断接收数据,当中断接收到数据后会将 * …

OTG -- STM32 OTG驱动代码下载及简述(三)

目录 前沿 1 STM32 OTG标准库的获取 2 设备模式代码匹配开发板 2.1 OTG FS全速代码修改 2.2 OTG HS代码修改 2.2.1 OTG HS外部高速PHY运行在高速模式代码修改 2.2.2 OTG HS外部高速PHY运行在全速模式代码修改 2.2.3 OTG HS内部全速PHY运行在全速模式代码修改 前沿 前面…

基于STM32CubeMX的GPIO配置和代码生成教程

GPIO&#xff08;通用输入输出&#xff09;是STM32微控制器中常用的外设之一&#xff0c;用于处理数字输入和输出。使用STM32CubeMX可以方便地配置GPIO并生成相应的初始化代码&#xff0c;本文将向您介绍如何使用STM32CubeMX进行GPIO配置&#xff0c;并提供示例代码。 ✅作者简…

DMA直接内存访问,STM32实现高速数据传输使用配置

1、DMA运用场景 随着智能化、信息化的不断推进&#xff0c;嵌入式设备的数据处理量也呈现指数级增加&#xff0c;因此对于巨大的数据量处理的情况时&#xff0c;必须采取其它的方式去替CPU减负&#xff0c;以保证嵌入式设备性能。例如SD卡存储器和音视频、网络高速通信等其它情…

基于51/STM32单片机的智能药盒 物联网定时吃药 药品分类

功能介绍 以51/STM32单片机作为主控系统&#xff1b; LCD1602液晶显示当前时间、温湿度、药品重量 3次吃药时间、药品类目和药品数量 HX711压力采集当前药品重量 红外感应当前药盒是否打开 DS1302时钟芯片显示当前年月日、时分秒、星期 DHT11采集当前环境温度和湿度 …

USMART是什么?

一、USMART简介 USMART是一个串口调试组件&#xff0c;可以大大提高代码调试效率&#xff0c;为正点原子为STM32开发的类似linux中shell的调试工具。 一般开发者正常情况下&#xff0c;对单片机功能进行调试的过程大致为&#xff1a;下载——调试——修改——下载——调试——…

STM32 cubemx配置DMA+空闲中断接收不定长数据

文章目录 前言一、串口空闲中断二、DMA空闲中断接收不定长数据实现思路三、STM32Cubemx配置DMA空闲中断接收不定长数据四、代码编写总结 前言 本篇文章给大家讲解一下DMA串口空闲中断接收串口不定长数据&#xff0c;之前我们也是讲解过串口接收不定长数据的&#xff0c;那么本…

STM32单片机的基本原理与应用(七)

超声波测距实验 基本原理 超声波测距实验是STM32单片机通过控制HC-SR04超声波模块&#xff0c;使其发送超声波&#xff0c;遇到物体反射回超声波来实现距离测量&#xff0c;其原理就是在发射超声波到接收超声波会有一段时间&#xff0c;而超声波在空气中传播的速度为声速&…

嵌入式——Flash(W25Q64)

目录 一、初识W25Q64 1. 基本认识 2. 引脚介绍 ​编辑 二、W25Q64特性 1. SPI模式 2. 双输出SPI方式 三、状态寄存器 1. BUSY位 2. WEL位 3. BP2、BP1、 BP0位 4. TB位 5. 保留位 6. SRP位 四、常用操作指令 1. 写使能指令&#xff08;06h&#xff09; 2. 写禁…

嵌入式中I2C 相关的硬件问题汇总及死锁解决办法

本文主要介绍如何解决I2C设备硬件设计上的各种问题&#xff0c;希望对大家有所帮助&#xff01; 关于I2C协议详细的讲解&#xff0c;可以参考之前的推文&#xff1a;全面解析 I2C 通信协议 一般情况下&#xff0c; i2c 设备焊接没什么问题&#xff0c;按照设备手册一步步来&a…

HAL STM32通过multi_button库处理按键事件

HAL STM32通过multi_button库处理按键事件 &#x1f4cd;作者&#xff1a;0x1abin的multi_button库:https://github.com/0x1abin/MultiButton &#x1f4d8;MultiButton简介 MultiButton 是一个小巧简单易用的事件驱动型按键驱动模块&#xff0c;可无限量扩展按键&#xff0c;…

【STM32 CubeMX】GPIO的工作模式

文章目录 前言一、有哪些工作模式&#xff1f;1.1 GPIO的详细介绍1.2 GPIO的内部框图输入模式输出部分 总结 前言 在嵌入式系统开发中&#xff0c;对于STM32微控制器的GPIO&#xff08;General Purpose Input/Output&#xff09;引脚的配置和使用是至关重要的。GPIO引脚可以通…

stm32f407探索者开发板(十九)——外部中断实验-EXIT

文章目录一、外部中断概述二、外部中断库函数设置2.1 SYSCFG_EXTILineConfig&#xff08;设置IO口与中断线的映射关系&#xff09;2.2 EXTI_Init&#xff08;初始化中断线&#xff1a;触发方式等&#xff09;三、手写外部中断写按键实验&#xff08;附步骤&#xff09;一、外部…

stm32——hal库学习笔记(DAC)

这里写目录标题 一、DAC简介&#xff08;了解&#xff09;1.1&#xff0c;什么是DAC&#xff1f;1.2&#xff0c;DAC的特性参数1.3&#xff0c;STM32各系列DAC的主要特性 二、DAC工作原理&#xff08;掌握&#xff09;2.1&#xff0c;DAC框图简介&#xff08;F1&#xff09;2.2…

stm32——hal库学习笔记(ADC)

这里写目录标题 一、ADC简介&#xff08;了解&#xff09;1.1&#xff0c;什么是ADC&#xff1f;1.2&#xff0c;常见的ADC类型1.3&#xff0c;并联比较型工作示意图1.4&#xff0c;逐次逼近型工作示意图1.5&#xff0c;ADC的特性参数1.6&#xff0c;STM32各系列ADC的主要特性 …

1 STM32固件库函数之通用输入/输出(GPIO)函数的介绍及使用

1 STM32固件库函数之通用输入/输出&#xff08;GPIO&#xff09;函数的介绍及使用前言一、GPIO固件库函数预览二、GPIO固件库函数具体介绍2.1 库函数 GPIO_DeInit2.2 库函数 GPIO_Init2.2.1 GPIO_InitTypeDef structure2.2.2 GPIO_Pin2.2.3 GPIO_Speed2.2.4 GPIO_Mode2.2.5 GPI…

【江科大】STM32:外部中断(Extern Interrupt)

文章目录 EXTI&#xff08;Extern Interrupt&#xff09;外部中断EXIT的基本结构EXIT框图 旋转编码器简介库函数&#xff1a;对射式红外传感器计次&#xff1a;代码展示&#xff1a;旋转编码器计次注意&#xff1a; EXTI&#xff08;Extern Interrupt&#xff09;外部中断 功能…

基于物联网设计的水稻田智能灌溉系统(STM32+华为云IOT)

一、项目介绍 随着科技的不断发展和人们生活水平的提高&#xff0c;农业生产也逐渐向智能化、高效化的方向发展。水稻作为我国主要的粮食作物之一&#xff0c;其生长过程中的灌溉管理尤为重要。传统的灌溉方式往往依赖于人工观察和控制&#xff0c;不仅效率低下&#xff0c;而…

RT-Thread: STM32 SPI使用流程

1.添加驱动 ①点开设置界面 ②勾选看门 SPI 驱动 ③点击保存 ④查看添加的驱动文件 drv_spi.c 2.打开驱动头文件定义 ①打开配置文件 ②打开定义 3.打开需要开启的SPI总线 打开 drivers 目录下的 board.h 用SPI搜索&#xff0c;找到如下文字&#xff0c;打开对应的宏。 /*-…

STM32标准库——(3)LED闪烁、LED流水灯、蜂鸣器

1.相关API 1.1 GPIOSpeed_TypeDef /** * brief Output Maximum frequency selection 最大频率选择*/typedef enum { GPIO_Speed_10MHz 1,GPIO_Speed_2MHz, GPIO_Speed_50MHz }GPIOSpeed_TypeDef;1.2 GPIOMode_TypeDef /** * brief Configuration Mode enumeration 配置…

江科大STM32 中

目录 6、TIM&#xff08;Timer&#xff09;定时器基本定时器通用定时器高级定时器示例程序&#xff08;定时器定时中断&定时器外部时钟&#xff09;TIM输出比较示例程序&#xff08;PWM驱动LED呼吸灯&PWM驱动舵机&PWM驱动直流电机&#xff09;TIM输入捕获示例程序&…

STM32使用寄存器开发底层驱动学习(USART+DMA)

目录学习资料下载任务USART的DMA功能发送数据DMA非中断模式接收USART数据。DMA中断模式接收USART数据总结学习资料下载 在本文学习中会用到如下的文档资料&#xff0c;没有的朋友先下载。 工程模板 Cortex M3权威指南&#xff08;中文&#xff09; &#xff1a;本文简称为《…

STM32定时器深入学习

STM32定时器分为三种定时器 高级定时器 TIM1和TIM8 通用定时器 TIM2、TIM3、TIM4、TIM5 基本定时器 TIM6、TIM7 三种定时器都是16位的自动装载计数器组成&#xff0c;由一个可编程的预分频器驱动。 1、高级定时器&#xff08;TIM1、TIM8&#xff09; 1.…

9-定时器系统

9.1 定时器系统简介 在所有的定时器中,TIM1和TIM8的功能最多。通用和基本定时器在结构上都有一定的简化。所以本章就以高级定时器为例讲解&#xff1a; 9.1.1 定时器概述 定时器在检测、控制领域有广泛应用&#xff0c;可作为应用系统运行的控制节拍&#xff0c;实现信号检测、…

基于STM32F469 discovery kit 开发板的开发3

目录基于STM32F469 discovery kit 开发板的开发3软件项目架构1. 应用层&#xff1a;2. Drivers层3. Middlewares层软件工作流程main函数入口LED等初始化配置外部中断基于STM32F469 discovery kit 开发板的开发3 前文我们已经实现了第一个例程在discovery 开发板上的运行&#…

如何设计物联网通信协议?

物联网中想控制设备&#xff0c;上传传感器数据&#xff0c;不可避免地需要协议&#xff0c; 目前物联网中有很多种协议&#xff0c;比如MQTT,CoAP,TCP,蓝牙BLE等等。 但是本文想讲的并非这部分协议&#xff0c;而是如何在物联网设备和服务器之间自定义协议&#xff0c;来传输你…

《嵌入式 - 嵌入式大杂烩》CoreMark性能测试

1 CoreMark简介 CoreMark是由EEMBC(Embedded Microprocessor Benchmark Consortium)的Shay Gla-On于2009年提出的一项基准测试程序&#xff0c;CoreMark的主要目标是简化操作&#xff0c;并提供一套测试单核处理器核心的方法。测试标准是在配置参数的组合下单位时间内运行的Co…

STM32 HAL库 串口使用问题记录

文章目录 STM32 HAL库 串口使用问题记录情况一&#xff1a;串口导致程序假死机情况二&#xff1a;其它程序正常运行&#xff0c;串口不再接收数据 STM32 HAL库 串口使用问题记录 情况一&#xff1a;串口导致程序假死机 多数应该出现在未开启DMA模式使用中断方式接收数据的情况…

STM32 GPIO的几种工作模式

介绍STM32 GPIO的几种工作模式 1、输出模式 STM32的引脚输出有两种方式&#xff1a; 1、推挽输出 2、开漏输出 1.1 推挽输出 当引脚设置为推挽输出时&#xff0c;P-MOS和N-MOS共同配合工作。 当使用HAL库 //该函数的作用就是将P-MOS导通&#xff0c;N-MOS关…

STM32 | J-link安装过程

J-Link是一种由SEGGER公司开发的调试器和仿真器,用于嵌入式系统开发。它可以帮助开发人员在开发过程中进行调试和仿真,提供了快速、稳定的连接,并支持多种不同类型的微处理器和微控制器。 要获取J-Link软件,请访问SEGGER公司的官方网站(www.segger.com)并进入他们的下载…

STM32 (2)

1.stm32编程模型 将C语言程序烧录到芯片中会存储在单片机的flsah存储器中&#xff0c;给芯片上电后&#xff0c;Flash中的程序会逐条进入到CPU中去执行&#xff0c;进而CPU去控制各种模块&#xff08;即外设&#xff09;去实现各种功能。 2.寄存器和寄存器编程 CPU通过控制其…

STM32(11)按键产生中断

1.初始化IO引脚&#xff0c;设置模式&#xff0c;速度等 2.设置AFIO&#xff08;配置EXTI的引脚映射&#xff09;&#xff0c;记得开启时钟 3.配置EXTI的通道&#xff08;EXTI0和EXTI1&#xff09; 4.配置NVIC 4.1 中断优先级分组 4.2 配置中断 5.编写中断响应函数 在中断向量…

SPI读写SD卡速度有多快?

SD卡是一个嵌入式中非常常用的外设&#xff0c;可以用于存储一些大容量的数据。但用单片机读写SD卡速度一般都有限&#xff08;对于高速SD卡&#xff0c;主要是受限于单片机本身的接口速度&#xff09;&#xff0c;在高速、实时数据存储时可能会有影响。但具体速度可以达到多少…

STM32_ESP8266 连接阿里云 操作图解

一、烧录MQTT固件 ESP8266出厂时&#xff0c;默认是&#xff1a;AT固件。连接阿里云需要&#xff1a;MQTT固件。 因此&#xff0c;我们需要给8266重新烧录 MQTT固件。 针对“魔女开发板&#xff0c;ESP8266模块烧录MQTT固件&#xff0c;图解教程如下&#xff1a; ESP8266 烧录 …

伙伴算法,slab分配器与kmalloc的关系

1、slab 内存分配器是基于伙伴算法的。 相比于伙伴算法&#xff0c;slab 更适用于小块内存分配&#xff0c;可以更高效地使用内存。在 slab 分配器中&#xff0c;内存被划分成一个个固定大小的块&#xff0c;每个块被称为一个“SLAB”。 当申请内存时&#xff0c;slab 分配器…

电力电子的一些知识

文章目录数电模电逻辑电路与或非异或 门电路与的物理电路边沿触发器功率交流容量直流容量桥电路CHBDABTHD电路器件LM7815与LM7915数电模电 逻辑电路 与或非异或 门电路 与乘大于1或加大于1异或异性为1&#xff0c;异吗&#xff1f; 与的物理电路 当二极管是高电平&#xf…

stm32_标准库_2

RCC&#xff08;外设&#xff09; void RCC_AHBPeriphClockCmd(uint32_t RCC_AHBPeriph, FunctionalState NewState); void RCC_APB2PeriphClockCmd(uint32_t RCC_APB2Periph, FunctionalState NewState); void RCC_APB1PeriphClockCmd(uint32_t RCC_APB1Periph, FunctionalSt…

学习优秀博文(【国产MCU移植】手把手教你使用RT-Thread制作GD32系列BSP)有感 | 文末赠书5本

学习优秀博文&#xff08;【guo产MCU移植】手把手教你使用RT-Thread制作GD32系列BSP&#xff09;有感 一篇优秀的博文是什么样的&#xff1f;它有什么规律可循吗&#xff1f;优秀的guo产32位单片机处理器是否真的能成功替换掉stm32的垄断地位&#xff1f; 本文博主以亲身经历聊…

基于STM32单片机电子相册设计全套资料

资料编号&#xff1a;188 功能介绍&#xff1a; 本系统采用STM32f103单片机通过SPI接口读取sd卡模块中的图片数据。并在单片机为sd卡模块生成fat文件系统。方便读取sd卡中的文件信息。将Bmp格式的图片存放到sd卡的picture文件夹中&#xff0c;然后单片机进行Bmp解码&#xff0…

【stm32】hal库学习笔记-FSMC连接TFT_LCD

【stm32】hal库学习笔记-FSMC连接TFT LCD 触摸屏结构与原理 LCD模块接口原理图 LCD 接口连接在 FSMC 总线上面&#xff0c;图中的 T_MISO/T_MOSI/T_PEN/T_SCK/T_CS 连接在 MCU 的 PB2/PF11/PB1/PB0/PC13 上&#xff0c;这些信号用来实现对液晶触摸屏的控制&#xff08;支持电阻…

STM32duino舵机控制-2

使用定时器进行精确延时&#xff0c;串口接收数据进行 50 0度 --十六进制32 250 180度 --十六进制FA 串口接收到AA 32两个字节&#xff0c;舵机转到0度&#xff1b;接收到AA FA&#xff0c;转到180度。请验证代码&#xff1a; const unsigned…

STM32 FOC算法调节电机

ST Motor Profiler是STMicroelectronics提供的一款工具软件&#xff0c;用于测量和识别无刷直流电机的参数&#xff0c;并生成适用于MCSDK的配置文件。使用ST Motor Profiler和MCSDK可以方便地进行电机参数测量和调节。 下面是使用ST Motor Profiler和MCSDK进行无刷电机参数测…

(HAL)STM32F407ZGT6——10-4 高级定时器 PWM 输入模式实验

一、高级定时器简介 高级定时器的框图和通用定时器框图很类似&#xff0c;只是添加了其它的一些功能&#xff0c;如&#xff1a;重复计数器、带死区控制的互补输出通道、断路输入等。 高级定时器的时钟来自APB2, 而PCLK2 168Mhz, 我们设置PPRE2不分频, 因此高级定时器时钟 …

【STM32】| 01——常用外设 | USART

系列文章目录 【STM32】| 01——常用外设 | USART 失败了也挺可爱&#xff0c;成功了就超帅。 文章目录 前言1. 基础理论1.1 并行通信和串行通信1.2 同步通信和异步通信1.3 单工/半双工/全双工1.4 电平信号(RS232/TTL)和差分信号(RS485)1.5 端口(COM) 2. 串口理论2.1 串口物理…

C++棋类小游戏2

今天给大家带来我花了1周时间自创的小游戏的升级版&#xff0c;博主还是一名小学生&#xff0c;希望大家提提意见。这是我写的最长的C代码&#xff0c;希望大家喜欢&#xff0c;不要抄袭&#xff0c;任何编译器都可以。 以前版本——C自创棋类小游戏-CSDN博客 C内容提示&…

【RTOS】快速体验FreeRTOS所有常用API(2)任务管理

目录 二、任务管理2.1 任务创建&#xff08;三种方式&#xff09;1&#xff09;动态内存分配方式创建任务2&#xff09;静态内存分配方式创建任务3&#xff09;带有任务参数方式创建任务 2.2 任务删除2.3 两种delay 二、任务管理 该部分在上份代码基础上修改得来&#xff0c;代…

STM32 学习2 库函数控制GPIO输出

STM32 学习2 库函数控制GPIO输出 一、GPIO寄存器介绍1. GPIO简介2. GPIO功能&#xff08;1&#xff09;模式分类&#xff08;2&#xff09;模式设置方法MODE[1:0]&#xff1a;模式控制&#xff0c;用于配置端口引脚的模式&#xff1a;CNF[1:0]&#xff1a;配置引脚输出速度&…

stm32--笔记

一、引脚与变量 ​​​​​​​​​​​​​​ 二、STM32时钟 [STM32-时钟系统详解_stm32时钟_KevinFlyn的博客-CSDN博客] 三、定时器中断实验 1、定时器中断实验 ​ stm32关于通用定时器的周期、频率计算公式_stm32tim频率计算_胶囊咖啡的博客-CSDN博客 ​ 【STM32】通用…

STM32407用汇顶的GT911触摸芯片调试实盘

这个配置很关键 代码 #include "stm32f4xx.h" #include "GT9147.h" #include "Touch.h" #include "C_Touch_I2C.h" #include "usart.h" #include "delay.h" #include "LCD.h" #incl…

STM32的SDIO

一.SDIO简介 SDIO&#xff0c;全称Secure Digital Input/Output&#xff0c;是一种用于在移动设备和嵌入式系统中实现输入/输出功能的接口标准。它结合了SD卡的存储功能和I/O功能&#xff0c;允许设备通过SD卡槽进行数据输入输出和外围设备连接。 SDIO接口通常被用于连接各种…

(二)基于STM32L431的Liteos低功耗Runstop模式的实现优化(退出stop2模式后任务相关Tick补偿优化)

前言&#xff1a; 使用Liteos的develop版本&#xff0c;Runstop模式由于没有相关代码&#xff0c;如果想要实现uA级功耗的话&#xff0c;Runstop模式需要自己实现&#xff0c;实现的大概过程在我的另一篇&#xff08;一&#xff09;基于STM32L431的Liteos低功耗Runstop模式的实…

STM32CUBEMX开发GD32F303(17)----内部Flash读写

概述 本章STM32CUBEMX配置STM32F103&#xff0c;并且在GD32F303中进行开发&#xff0c;同时通过开发板内进行验证。 本例程主要讲解如何对芯片自带Flash进行读写&#xff0c;用芯片内部Flash可以对一些需要断电保存的数据进行保存&#xff0c;无需加外部得存储芯片&#xff0c…

stm32 adc dma

ADC采集电压&#xff0c;使用DMA传输到内存 一&#xff0c;ADC设置 1,Mode。 这里我们使用ADC的独立模式。 2&#xff0c;时钟分频 ADCCLK由 PCLK2分频得到&#xff0c;最大时钟频率36M。ADC 时钟太快&#xff0c;采样可能不够准确&#xff0c;误差大。 3&#xff0c;采样分…

STM32F411CE驱动Xbox摇杆

外观 引脚说明和原理 GND-GND 5V-5V VRX-ADC1通道1 VRX-ADC1通道2 SW独立按键-单片机的输入检测 本质上这个遥感就是集成了一个按键和两个电位器&#xff0c;遥感转动改变电位器也会转动&#xff0c;电压输出的值也就不一样&#xff0c;通过检测数值可自定义的做出判断&a…

STM32使用软件SPI协议操作TFT18彩屏

时间记录&#xff1a;2024/2/20 一、SPI协议介绍 &#xff08;1&#xff09;SPI设备通过4根线进行通信&#xff0c;CS片选线&#xff0c;选择从设备&#xff0c;SCK时钟线&#xff0c;由主设备产生时钟&#xff0c;主机MOSI线连从机MISO线&#xff0c;由主机向从机发送信息&am…

基于STM32的无线模拟病房呼叫系统

一. 系统设计和框图 本系统主要功能为模拟病房的无线呼叫系统&#xff0c;呼叫端&#xff08;病床&#xff09;为从机&#xff0c;被呼叫端&#xff08;护士站&#xff09;为主机&#xff0c;系统采用一主多从的通信方式&#xff0c;主机和从机之间采用无线通信方式。 主机端…

18_FreeRTOS任务通知

目录 任务通知的简介 任务通知值的更新方式 任务通知的优势 任务通知的劣势 任务通知值和通知状态 发送通知相关API函数 接收通知相关API函数 任务通知模拟信号量实验 任务通知模拟消息邮箱实验 任务通知模拟事件标志组实验 任务通知的简介 任务通知:用来通知任务的…

STM32—串口

串口介绍 串行接口简称串口&#xff0c;也称串行通信接口或串行通讯接口&#xff08;通常指COM接口&#xff09;&#xff0c;是采用串行通信方式的扩展接口。串行接口&#xff08;Serial Interface&#xff09;是指数据一位一位地顺序传送。其特点是通信线路简单&#xff0c;只…

Stm32 iic 协议使用

/* 第1个参数为I2C操作句柄 第2个参数为从机设备地址 第3个参数为从机寄存器地址 第4个参数为从机寄存器地址长度 第5个参数为发送的数据的起始地址 第6个参数为传输数据的大小 第7个参数为操作超时时间 */ HAL_I2C_Mem_Write(&hi2c2,salve_add,0,0,PA_BUFF,sizeof(PA_BUFF…

STM32的HAL库SPI操作(master 模式)-根据时序图配置SPI

SPI相关基础知识 SPI基本概念请自行百度&#xff0c;参考&#xff1a;百度百科SPI简介.我们讲重点和要注意的地方。 master模式下要关注的地方 接线一一对应 也就是说主控的MISO,MOSI,SCLK,[CSn]分别和设备的MISO,MOSI,SCLK,[CSn]一一对应相连&#xff0c;不交叉&#xff0…

基于STM32 单片机智能台灯控制系统的设计

摘要:针对目前我国学生近视率呈现上升趋势、学生坐姿不良等问题,提出了一种基于STM32 单片机智能台灯控制系统。该系统以STM32 单片机为控制核心,通过人体检测模块判断是否有人,实现对台灯亮灭的控制;通过自动调光模块实时检测环境光照强度,利用PWM 脉冲宽度调制技术对台…

STM32F4XX - GPIO设置

一个简单的初始化代码如下&#xff1a; GPIO_InitTypeDef GPIO_InitStructure;RCC_AHB1PeriphClockCmd(RCC_AHB1Periph_GPIOA, ENABLE);//使能GPIOB时钟GPIO_InitStructure.GPIO_Pin Buzzer_PIN;//LED对应IO口GPIO_InitStructure.GPIO_Mode GPIO_Mode_OUT;//普通输出模式GP…

【STM32快速上手】点灯只需4步

1、打开时钟配置寄存器RCC_CFGR 2、找控制GPIO 外设时钟的寄存器RCC_AHBENR&#xff08;我使用的是GPIOB&#xff09; 3、配置模式寄存器GPIOx_MODER 4、配置输出寄存器GPIOx_ODR 例程代码 #include "..\include\main.h" /*---------------------------------------…

05 EXTI外部中断

一、中断系统 中断系统&#xff1a;管理和执行中断的逻辑结构。中断&#xff1a;在主程序运行过程中&#xff0c;出现了特定的中断触发条件——中断源&#xff0c;使得CPU暂停当前正在运行的程序&#xff0c;转而去处理中断程序&#xff0c;处理完成后又返回原来被暂停的位置继…

STM32 USART详细解读(理论知识)

文章目录 前言一、同步传输和异步传输二、UART协议三、UART硬件结构1.波特率&#xff0c;数据位&#xff0c;校验位&#xff0c;停止位设置2.数据发送流程3.数据接收流程4.中断控制 总结 前言 本篇文章来给大家讲解一下STM32中的USART&#xff0c;USART是STM32中非常重要的一个…

STM32WLE5JC学习

低功耗定时器&#xff08;LPTIM1&#xff0c;LPTIM2和LPTIM3&#xff09; 这些低功耗定时器有一个独立的时钟和运行在停止模式&#xff0c;如果他们的时钟来自LSE,LSI&#xff0c;或由外部时钟。它们能够从停止模式唤醒系统。 LPTIM1可以在停止0&#xff0c;停止1和停止2模式…

【江科大】STM32:中断系统(理论)

文章目录 中断系统为什么要使用中断中断优先级中断嵌套STM32的中断系统如何管理这些中断NVIC的结构![请添加图片描述](https://img-blog.csdnimg.cn/c77b038fd63a4ddfbcd3b86f6dfe596b.png) 优先级窗口看门狗&#xff08;WWDG&#xff09;&#xff1a;外部中断模块的特性&#…

【郑益慧】模拟电子技术:6.BJT特性曲线共射

BJT特性曲线共射 输入特性 输入回路&#xff1b;从基极到发射极输出回路&#xff1b;从集电极到发射极 IC是对IB的放大&#xff0c;用小信号控制大能量 那么输入/输出回来是怎么来的&#xff1f; 由于输入信号控制IB的变化&#xff0c;通过IB控制IC的变化。 因此就可以在…

嵌入式-stm32-江科大-OLED调试工具

文章目录 一&#xff1a;OLED调试工具1.1 OLED显示屏介绍1.2 实验&#xff1a;在OLED显示屏的使用1.3 自己新增功能测试道友&#xff1a;今天没有开始的事&#xff0c;明天绝不会完成。 一&#xff1a;OLED调试工具 1.1 OLED显示屏介绍 学习任何一门语言就需要进行调试&#…

11.STM32F4 输入捕获

一、输入捕获概念 输入捕获模式可以用来测量脉冲宽度或者测量频率。我们以测量脉宽为例&#xff0c;用一个简图来说明输入捕获的原理&#xff0c;如图1所示&#xff1a; 图1&#xff1a;输入捕获脉宽测量原理图 STM32F4的输入捕获&#xff0c;简单的说就是通过检测TIMx_CHx上的…

野火霸道V2学习笔记

野火霸道V2学习笔记 STM32F103学习笔记说明基础配置配置KeilMDK配置串口下载程序美化Keil界面配置VScode 理论知识STM32命名方式例子 置位与清零GPIOGPIO简介GPIO和引脚的区别引脚的分类 GPIO 框图讲解保护二极管推挽输出开漏输出补充: 高阻态与悬空复用功能输出输入模式GPIO框…

单片机之keil软件环境搭建

简介 Keil提供了包括C编译器、宏汇编、链接器、库管理和一个功能强大的仿真调试器等在内的完整开发方案&#xff0c;通过一个集成开发环境&#xff08;μVision&#xff09;将这些部分组合在一起。     目前软件对中文的支持不友好&#xff0c;不建议安装网上的一些汉化包…

STM32第一节——初识STM32

1 硬件介绍 1.1 硬件平台 配套硬件&#xff1a;以野火的STM32 F1霸道开发板为平台&#xff0c;若用的是别的开发板&#xff0c;可自己进行移植。 1.2 什么是STM32 STM32是由意法半导体&#xff08;STMicroelectronics&#xff09;公司推出的一系列32位的ARM Cortex-M微控制…

AP5193 DC-DC宽电压LED降压恒流驱动器 2.5A可PWM/线性调光IC 过EMC认证线路图

产品描述 AP5193是一款PWM工作模式,高效率、外围简 单、 内置功率MOS管&#xff0c;适用于4.5-100V输入的高精度 降压LED恒流驱动芯片。最大电流2.5A。 AP5193可实现线性调光和PWM调光&#xff0c;线性调 光 脚有效电压范围0.55-2.6V. AP5193 工作频率可以通过RT 外部电阻…

STM32+ESP8266 实现物联网设备节点

一、硬件准备 本设备利用STM32F103ZE和ESP8266实现了一个基本的物联网节点&#xff0c;所需硬件如下 1、STM32F103ZE开发板 2、ESP8266模组&#xff08;uart接口&#xff09; 3、ST-LINK&#xff08;下载用&#xff09; 4、USB转串口模块&#xff08;调试用&#xff09; 二…

Arduino控制器使用Udp网络对8路IO输出控制

一、实现功能 1、创建串口连接&#xff0c;将接收的Udp数据通过串口发送出去。 2、创建Udp连接&#xff0c;用以接收Udp数据和对发送数据的Udp机器反馈Udp数据 3、对接收到的Udp数据进行解析&#xff0c;然后对8路IO进行输出控制。 4、1对应IO输出低电平&#xff0c;‘0’对…

基于STM32设计的倒车雷达系统(超声波模块多方位测距应用)

一、项目背景 汽车高科技产品家族中,专为倒车泊位设置的“倒车雷达”应运而生,倒车雷达的加装可以解决驾驶人员的后顾之忧,大大降低到车事故的发生。汽车倒车雷达全称为“倒车防撞雷达”,也叫“泊车辅助装置”,是汽车泊车安全辅助装置,能以声音或者更为直观的显示来告知…

【Stm32】【Lin通信协议】Lin通信点亮灯实验

Lin通信点亮灯实验 通过STM32的串口发送数据&#xff0c;然后通过串口转换模块将数据转换成LIN&#xff08;Local Interconnect Network&#xff09;协议&#xff0c;最终控制点亮灯。需要工程和入门资料的可以私信我&#xff0c;看到了马上回。 入门书本推荐&#xff1a; 一…

供应 STM32F103RBT6原装芯片

长期供应各品牌原装芯片&#xff1a; STM32F103RBT6 TLC555QDRNS BD5228G-TR BTS3160D SFH5711-2/3 TLE42764GV TJA1049T TPA6211A1TDGNRQ1 TPS62420QDRCRQ1 BD00HA5MEFJ-ME2 LM3492HCQMHX/NOPB SN65HVDA1040AQDRQ1 TPS7A6201QKTTRQ1 BD52E34G-MTR BD450M5FP2-C…

STM32 +合宙1.54“ 电子墨水屏(e-paper)驱动显示示例

STM32 合宙1.54“ 电子墨水屏&#xff08;e-paper&#xff09;驱动显示示例 &#x1f4cd;相关篇《Arduino框架下ESP32/ESP8266合宙1.54“ 电子墨水屏&#xff08;e-paper&#xff09;驱动显示示例》&#x1f516;程序是从GooDisplay品牌和微雪电子下同型号规格墨水屏的示例程序…

STM32 的ADC使用指南

ADC简介 ADC&#xff0c;即模数转换器&#xff08;Analog-to-Digital Converter&#xff09;&#xff0c;是一种在微控制器&#xff08;如STM32&#xff09;中常见的设备&#xff0c;它能够将模拟信号转换为数字信号。简单来说&#xff0c;我们的现实世界中大部分的信号都是模…

STM32USART串口数据包

文章目录 前言一、介绍部分数据包两种包装方式&#xff08;分割数据&#xff09;HEX数据包文本数据包 数据包的收发流程数据包的发送数据包的接收固定包长的hex数据包接收可变包长的文本数据包接收 二、实例部分固定包长的hex数据包接收连接线路代码实现 可变包长的文本数据包接…

K_A14_012基于STM32等单片机驱动GY-25倾斜度角度模块 串口与OLED0.96双显示

K_A14_012基于STM32等单片机驱动GY-25倾斜度角度模块 串口与OLED0.96双显示一、资源说明二、基本参数参数引脚说明三、驱动说明对应程序:四、部分代码说明1、接线引脚定义1.1、STC89C52RCGY-25倾斜度角度模块1.2、STM32F103C8T6GY-25倾斜度角度模块五、基础知识学习与相关资料下…

LoRaWan协议解析

LoRaWAN协议数据包 LoRaWan协议中规定了7种不同的数据包&#xff0c;每种数据包又有不同的字段&#xff0c;除了“入网请求”和“入网回复”&#xff0c;其它的数据包都是AES-128加密的。 7种不同的数据包分别为&#xff1a; LoRaWAN协议数据包格式Join RequestJoin AcceptUnc…

STM32程序下载和启动方式

目录1 BOOT引脚配置和下载说明2 关于串口下载方式3 关于一按复位就跑代码4 关于下载调试速度5 关于三种启动方式5.1 FLASH启动5.2 系统存储器器启动5.3 SRAM启动6 关于程序的三种下载方式1 BOOT引脚配置和下载说明 BOOT0BOOT1程序运行ST-Link下载串口下载启动说明xx无0x√√用…

详解CRC原理以及C语言实现

CRC检验原理 CRC&#xff08;Cyclic Redundancy Check&#xff09;校验是一种常用的数据校验方法&#xff0c;它通过计算数据的校验码来检测数据在传输过程中是否出现了错误。 CRC校验的基本原理是将数据按照一定的规则进行计算&#xff0c;得到一个固定长度的校验码&#xf…

【Proteus仿真】【STM32单片机】STM32脉搏血氧仪

文章目录 一、功能简介二、软件设计三、实验现象联系作者 一、功能简介 系统运行后&#xff0c;LCD1604液晶显示心率、血氧和温度、时间日期&#xff1b; 如果心率、血氧超限则报警&#xff1b;蓝牙实时传输数据&#xff1b; 二、软件设计 /* 作者&#xff1a;嗨小易&#xf…

STM32 GD32 标准库移植SFUD

本次移植是在官方源码的基础上进行移植的 本次介绍的两个软件包SFUD/FAL都与FLASH有关&#xff0c;并且都可以独立使用或者结合在一起使用&#xff0c;两个软件包都对操作系统无依赖&#xff0c;可以使用裸机移植&#xff0c;也很方便移植到各种系统。 这两个软件包的作者都是…

STM32--USART串口(3)数据包

一、前言 在实际的工程中肯会有同时发送多种数据的情况&#xff0c;比如要不停的发送x、y、z分别对应三种不同的数据。xyzxyzxyz&#xff0c;但接收方可能是从中间某个地方开始接收的&#xff0c;这就导致数据错位。所以我们就需要将数据进行分割&#xff0c;打包成一个一个的…

STM32的ADC采集传感器的模拟量数据

1、 由于项目上使用传感器采集数据&#xff0c;传感器可以输出模拟电压信号&#xff0c;但是模拟电压信号的输出范围是1-5V&#xff0c;而STM32的ADC采集电压范围是0-3.3V&#xff0c;此时可以用一个简单的分压电路将1-5V的电压将至0.5V到2.5V的范围。 2、电阻分压电路可以使用…

2024年第一篇博客

这是2024年的第一篇博客&#xff0c;2023年笔者经历了一连串的生活、工作、学习上的转折和调整&#xff0c;跌跌撞撞时光飞逝&#xff0c;转眼间就踏着元旦的钟声步入了2024年&#xff0c;前思后想、辗转反侧、犹豫再三不知道从哪里开始博客新的篇章&#xff0c;这个问题坦诚说…

STM32--SPI通信协议(3)SPI通信外设

前言 硬件SPI&#xff1a;通过硬件电路实现&#xff0c;所以硬件SPI速度更快&#xff0c;有专门的寄存器和 库函数 &#xff0c;使用起来更方便。 软件SPI&#xff1a;也称模拟SPI&#xff0c;通过程序控制IO口电平模拟SPI时序实现&#xff0c;需要程序不断控制IO电平翻转&am…

正点原子--STM32基本定时器学习笔记(2)

目录 1. 相关寄存器介绍 1.1 控制寄存器 1(TIMx_CR1)​编辑 1.2 DMA/中断使能寄存器(TIMx_DIER) 1.3 状态寄存器(TIMx_SR) 1.4 计数器(TIMx_CNT) 1.5 预分频器(TIMx_PSC) 1.6 自动重装载寄存器(TIMx_ARR) 2. 工程建立 3. 导入tim.c文件 4. 相关HAL库函数介绍 4.1 H…

STM32 微控制器应用领域

STM32 微控制器在多个领域有广泛应用&#xff0c;具体如下&#xff1a; 工业自动化&#xff1a;STM32 在工厂自动化、机器人控制、传感器接口和数据采集等方面具有出色的性能和可靠性&#xff0c;有助于实现高效的工业自动化系统。消费电子&#xff1a;STM32 适用于智能手机、…

STM32输出PWM波控制180°舵机

时间记录&#xff1a;2024/2/8 一、PWM介绍 &#xff08;1&#xff09;脉冲宽度调制 &#xff08;2&#xff09;占空比&#xff1a;高电平时间占整个周期时间的比例 &#xff08;3&#xff09;STM32通过定时器实现PWM时具有两种模式 PWM1模式&#xff1a;向上计数模式下&…

K210如何下载程序

一、打开资料包里提供的K-Flash程序烧录软件 二、选择串口 三、选择波特率 四、选择In-Chip&#xff0c;烧录到Flash芯片里面&#xff0c;重新上电还会运行程序 五、如果选择In - Memory&#xff0c;这次可以运行&#xff0c;但下次重新上电就不会保持这次的程序了。 六、选择固…

【心得】关于STM32中RTC的校准方法

最近看了一些关于RTC校准的帖子&#xff0c;发现很多人存在疑惑。正好最近我也在STM32中实现了RTC校准。发些心得。这些对老手来说有些罗索&#xff0c;但对新手有益处。 实现RTC 校准的核心之一是库文件Stm321f0x_bkp.c中的void BKP_SetRTCCalibrationValue (uint8_t Calibra…

STM32 与 ARM 的联系

STM32 和 ARM 是两个不同的概念&#xff0c;但它们之间存在一定的联系。 STM32是一种微控制器产品&#xff0c;由意法半导体&#xff08;STMicroelectronics&#xff09;推出&#xff0c;其内核采用了 ARM Cortex-M 核。ARM 是一家英国芯片设计公司&#xff0c;专注于设计和许可…

STM32——OLED(2)

目录 一、OLED显示屏介绍 引脚说明&#xff1a; 二、OLED驱动 1. 基本认识 2. OLED 驱动原理 及过程 三、SSD1306工作时序 (8080时序&#xff09; 1. 8080并口读/写过程 2. SSD1306工作时序 (8080时序) 四、屏幕显示 1. GRAM 补&#xff1a; 2. 画点原理 3. 显示字…

STM32 TIM输入捕获

单片机学习&#xff01; 目录 文章目录 前言 一、输入捕获 1.1 输入捕获简介 1.2 输出比较和输入捕获执行逻辑的对比&#xff1a; 1.2.1 输出比较 1.2.2 输入捕获 1.2.3 输出比较和输入捕获对比总结 1.3 输入捕获作用 1.4 三种定时器的输入捕获通道分布 1.5输入捕获的PWMI模式和…

STM32 STD/HAL库驱动W25Q64模块读写字库数据+OLED0.96显示例程

STM32 STD/HAL库驱动W25Q64 模块读写字库数据OLED0.96显示例程 &#x1f3ac;原创作者对W25Q64保存汉字字库演示&#xff1a; W25Q64保存汉字字库 &#x1f39e;测试字体显示效果&#xff1a; &#x1f4d1;功能实现说明 利用W25Q64保存汉字字库&#xff0c;OLED显示汉字的时…

【GD32F427开发板试用】IAR flash loader 下载GD32F427流程简要分析

本篇文章来自极术社区与兆易创新组织的GD32F427开发板评测活动&#xff0c;更多开发板试用活动请关注极术社区网站。作者&#xff1a;andeyqi 很高兴获的社区的GD32F427开发板的评测机会&#xff0c;这几年芯片慌大家都能感受到&#xff0c;项目上经常因为货源紧张不断更换替代…

linux(全志)初始环境到移植lvgl

一、 格式化TF卡 1. linux命令行格式化 1.1 找到U盘位置&#xff08;已挂载&#xff09; sudo fdisk -l 如图&#xff0c;我的在/dev/sdb 1.2 格式化U盘 sudo mkfs -t vfat /dev/sdb-t 后面是格式化为哪种文件系统格式&#xff0c;vfat就是fat32格式&#xff0c;最后…

嵌入式知识点-SPI通讯

该文原自 &#xff1a; 正点原子 01 SPI概述 SPI (Serial Peripheralinterface),顾名思义就是串行外围设备接口。SPI是一种高速的&#xff0c;全双工&#xff0c;同步的通信总线&#xff0c;并且在芯片的管脚上只占用四根线&#xff0c;节约了芯片的管脚&#xff0c;同…

为什么我给蓝牙芯片KT6368A发送AT指令没有反应呢

目录 一、问题描述简介 为什么我给蓝牙芯片KT6368A发送AT指令没有反应呢&#xff1f;查看了文档也没找到具体的解决办法 二、详细描述 这个问题&#xff0c;主要分为两个部分去考虑 KT6368A的芯片&#xff0c;上电是否正常&#xff0c;也就是有没有跑起来&#xff0c;这个详…

STM32F1硬件SPI驱动nRF24L01通过按键控制数据收发带状态反馈

STM32F1硬件SPI驱动nRF24L01通过按键控制数据收发带状态反馈&#x1f4cc;相关篇《STM32F1基于STM32CubeMX配置硬件SPI驱动nRF24L01数据收发》 &#x1f3ac;功能演示 &#x1f33f;工程默认配置的是STM32F103VC单片机&#xff0c;其他型号的修改需要修改启动文件startup_st…

从头开始完成一个STM32例程

创建新项目 Project-> New&#xff0c;之后选择自己的开发板芯片 确定之后又跳到运行环境的界面&#xff1a; 必选CMSIS的Core还有Device的Startup。 如果要连接外设必须勾选外设的时钟RCC&#xff0c;一般再勾选上Framework、GPIO、和USART串口 点击OK确定创建项目。项…

STM32之串口通信USART模块学习(1)

一、通信接口 通信的目的&#xff1a;将一个设备的数据传送到另一个设备&#xff0c;扩展硬件系统通信协议&#xff1a;制定通信的规则&#xff0c;通信双方按照协议规则进行数据收发单端信号通信的双方必须要共地&#xff0c;因为都是对GND的电压差同步信号都由一根时钟线&am…

基于 ART-PI FMC驱动SDRAM

引脚接线图 SDRAMGPIO解释FMC A0:5PF0:5地址线FMC A6:9PF12:15地址线FMC A10:12PG0:2地址线FMC D0:1PD14:15数据线FMC D2:3PD0:1数据线FMC D4:12PE7:15数据线FMC D13:15PD8:10数据线FMC BA0PG4Bank地址输入FMC BA1PG5Bank地址输入FMC NBL0PE0数据掩码FMC NBL1PE1数据掩码FMC S…

【2023全球半导体IC新品盛宴】一年一度Embedded World全球顶级嵌入式会展结束,盘点各大软硬件厂商带来的新品(2023-03-17)

今年我们国内也有越来越多的厂家开始参展&#xff0c;下面逐一将这三天搜集整理的资讯给大家做个分享 【视频版】 https://www.bilibili.com/video/BV1CX4y1f7Fx 【2023全球半导体IC新品盛宴】一年一度Embedded World全球顶级嵌入式会展结束&#xff0c;盘点各大软硬件厂商…

STM32Cube STM32MP157 M4端CAN通讯实战

1、环境 开发系列&#xff1a;STM32MP157 开发软件&#xff1a;STM32CubeIDE 1.4.0 例程目的&#xff1a;在M4端实现CAN通讯 2、目的 近日&#xff0c;有客户需要在STM32MP157中的M4端实现CAN通讯&#xff0c;我也是初次在M4端编写CAN通讯代码&#xff0c;上网研究了其他人写…

STM32 -4 关于STM32的RAM、ROM

一 stm32 的flash是什么、有什么用、注意事项、如何查看 一 、说明 它主要用于存储代码&#xff0c;FLASH 存储器的内容在掉电后不会丢失&#xff0c;STM32 芯片在运行的时候&#xff0c;也能对自身的内部 FLASH 进行读写&#xff0c;因此&#xff0c;若内部 FLASH 存储了应用…

STM32的小打小闹(标准库)

STM32是ST公司基于ARM Cortex-M内核开发的32位微控制器。这里以STM32C8T6为例子。通过标准库入门STM32的GPIO输入输出、EXTI外部中断、TIM定时器中断、TIM输出比较、TIM输入捕获、ADC数模转换、DMA、USART收发数据、I2C通讯、SPI通讯。根据b站江科大b站江科大视以及相关资料总结…

Notes05:改进寄存器点亮LED

改进寄存器点亮LED代码野火霸道开发板学习笔记信息说明寄存器点亮LED改进原理分析main文件:stm32f10x.h文件startup_stm32f10x_hd.s文件野火霸道开发板学习笔记 信息说明 开发板: 野火霸道V2芯片型号: STM32F103ZET6下载器型号: 野火fireDAP下载器(高速版)软件环境: Keil5(MD…

PH电极酸碱度检测

最近做了一个项目是关于PH电极测酸碱度的一个仪器。 简单地说&#xff1a;玻璃电极是一种氢离子选择性电极&#xff0c;相当于一个对玻璃膜两侧氢离子浓度差异能产生附加电势差的“盐桥”&#xff0c;一般的盐桥是为了消除浓差电势或者液体接触电势这种附加电势差&#xff0c;玻…

看野火的视频,用正点原子的板子(STM32F4探索者)做ADC读取电压实验

1. 实验目的 使用STM32F4的ADC1通道5&#xff08;PA5&#xff09;来采样外部电压值&#xff08;这里采样两个电压值TPAD&#xff08;3.3v&#xff09;&#xff0c;GND&#xff08;0v&#xff09;&#xff09;&#xff0c;最后通过串口打印电压值。 2. 实验准备和流程 由上图可…

STM32 ADC+定时器+DMA+FFT

本次实现的功能为单片机DAC输出一个正弦波&#xff0c;然后ADC定时采样用DMA输出&#xff0c;最后对DAC输出的波形进行FFT。单片机STM32F103ZET6内部时钟一、配置ADCADC端口为PA1&#xff0c;采用DMA输出&#xff0c;定时器3触发定时器时钟64M&#xff0c;分频后为102.4KHzADC采…

STM-32:GPIO 输出-点亮LED-流水灯-蜂鸣器

目录一、GPIO1.1GPIO简介1.2GPIO 硬件解析1.2.1保护二极管1.2.2 P-MOS、N-MOS 管1.2.3数据输入输出寄存器1.2.4复用功能输出1.2.5模拟输入输出1.3GPIO 的工作模式1.3.1 输入模式 (模拟/浮空/上拉/下拉)1.3.2 输出模式 (推挽/开漏)1.3.3 复用功能 (推挽/开漏)1.3.4 小结二、GPIO…

STM32——IIC总线(MPU6050应用)

目录 一、IIC介绍 二、MPU6050 三、MPU6050实例 四、EEPROM ---------------------------------------------------------------------------------------------------------------------------- 每次都是IIC好没新意啊&#xff0c;我决定这次录视频的时候举两个例子&…

FreeRTOS信号量 | FreeRTOS十

目录 说明&#xff1a; 一、信号量 1.1、信号量简介 1.2、信号量特点 二、二值信号量 2.1、二值信号量简介 2.2、获取与释放二值信号量函数 2.3、二值信号量使用过程与相关API函数 2.4、创建二值信号量函数了解 2.5、释放二值信号量了解 2.6、获取二值信号量了解 三…

基于单片机的温度控制系统设计(32+WIFI+蓝牙版)-实物设计

编号&#xff1a;M22033-04M设计简介&#xff1a;基础功能&#xff1a;1、可以通过温度传感器检测温湿度2、可以通过按键设置温度阈值3、当温度超过阈值时&#xff0c;蜂鸣器报警扩展功能&#xff1a;1、通过蓝牙连接手机并通过手机实现监控2、通过WiFi连接手机并通过手机实现监…

STM32F4驱动OV7670失败总结

前言&#xff1a;之前因为贪图便宜买了一个7块钱的ov7670摄像头&#xff0c;然后想用我的stm32开发板驱动起来结合rtthread做个小项目出来&#xff0c;但是一路上遇到了许多问题&#xff0c;最终没能成功&#xff0c;在此记录一下用stm32驱动ov7670失败的过程 项目框架如下&…

(二)【平衡小车制作】电机驱动(超详解)

一、硬件设计 1.直流减速电机   直流减速电机&#xff0c;即齿轮减速电机&#xff0c;是在普通直流电机的基础上&#xff0c;加上配套齿轮减速箱。齿轮减速箱的作用是&#xff0c;提供较低的转速&#xff0c;较大的力矩。  简单的来说&#xff0c;STM32分配两个IO口给一个…

FreeRTOS 任务基础知识

文章目录一、什么是多任务系统&#xff1f;二、FreeRTOS 任务与协程三、任务状态四、任务优先级五、任务实现六、任务控制块七、任务堆栈RTOS 系统的核心就是任务管理&#xff0c;FreeRTOS 也不例外&#xff0c;而且大多数学习 RTOS 系统的工程师或者学生主要就是为了使用 RTOS…

STM32整合ATK-01-LORA模块实现定向传输实现三点组网(一主加二从)案例

背景应物联网无网的环境要求&#xff0c;需要使用Lora模块进行组网以此传输数据&#xff0c;本来用了正点原子的Lora模块&#xff0c;以正点的强大资料支持&#xff0c;我本应该非常熟悉这些组网操作了&#xff0c;但硬件调试实在是让我差不多很苦恼&#xff0c;一方面需要不断…

基础篇003. 【STM3F446,NUCLEO-F446RE板】使用STM32CubeMX创建MDK工程,实现流水灯

目录 0. STM32F446产品概要 1. 实验任务 2. 硬件原理 3. 利用STM32CubeMX创建MDK工程 4.在MDK中编辑工程 4.1 代码编辑说明 4.2 修改代码 4.3 关于找不到V5编译器报错的解决方法 5. 在开发板上下载验证 6. HAL库函数学习 6.1 HAL_GPIO_WritePin函数介绍 6.2 HAL_De…

蓝桥杯嵌入式第十二届初赛题目解析

把蓝桥杯嵌入式第十二届的题目写完了&#xff0c;拿出来和大家一起分享。 目录 客观题 程序设计题 题目解析&#xff1a; CubeMX配置 代码演示 客观题 此次客观题比较简单&#xff0c;都是基础知识&#xff0c;又不理解的地方&#xff0c;可以在评论区留言。 程序设计题…

2 新建工程步骤

2 新建工程步骤 0.建立工程文件夹 选择一个程序储存文件&#xff0c;新建一个2-1 STM32工程模板文件夹&#xff0c;在2-1 STM32工程模板文件夹新建一个Start&#xff0c;User,Library文件夹 1.Keil中新建工程&#xff0c;选择型号 打开keil5&#xff0c;project->new pr…

STM32F4_窗口看门狗精讲(WWDG)

目录 1. 窗口看门狗WWDG简介 2. 窗口看门狗和独立看门狗的区别 3. WWDG主要特性 4. WWDG功能 4.1 窗口看门狗框图(重要) 4.2 看门狗超时计算 5. WWDG寄存器 5.1 控制寄存器 WWDG_CR 5.2 配置寄存器 WWDG_CFR 5.3 状态寄存器 WWDG_SR 6 库函数配置窗口看门狗(采用中断…

Keil5----跳转定义和查找功能

一、Keil5----跳转定义 跳转定义 鼠标左键点击要查找的变量 方法1&#xff1a; 点击鼠标右键&#xff0c;功能栏中有跳转定义的选项。 方法2&#xff1a; 按快捷键 F12 具体操作如下图所示&#xff1a; 跳转结果 二、Keil5----查找功能 1. 查找功能 鼠标左键点击要查找的变…

STM32F4_定时器精讲(TIM)

目录 1. 什么是定时器&#xff1f; 2. STM32定时器简介 2.1 高级控制定时器 TIM1和TIM8 2.1.1 TIM1和TIM8简介 2.1.2 时基单元 2.1.3 计数器模式 2.1.4 重复计数器 2.1.5 时钟选择 2.1.6 捕获/比较通道 2.1.7 输入捕获模式 2.1.8 其他功能 2.2 通用定时器 TIM2到TI…

【香橙派+DHT11】香橙派(全志H616)+ DHT11温湿度传感器的驱动教程

目录 一、前言产品概述特点数据传送逻辑DHT11通信时序 二、代码GPIO初始化起始信号读取数据整体代码执行结果 一、前言 最近写DHT11的代码到香橙派&#xff08;全志H616&#xff09;上&#xff0c;发现网上案例基本上都是树莓派DHT11的居多&#xff0c;香橙派的少&#xff0c;…

【LoRaWAN_End_Node】基于STM32Cube IDE的代码移植与烧写

基于LM401模组 在 STM32Cube IDE 环境下实现 LoRaWAN_End_Node 代码的移植 编译和烧写&#xff0c;并成功接入lorawan网关 【LoRaWAN_End_Node】基于STM32Cube IDE的代码移植与烧写1.硬件基本介绍2.STM32Cube IDE导入工程并编译2.1 导入工程2.2编译工程2.3 移植AT_slave 代码3.…

单片机stm32新建工程后的编程准备

STM32学习之新建工程模板_stm32工程模板_榕林子的博客-CSDN博客 1、按基本模板新建全新的工程文件&#xff0c;编译检查一下 2、在工程里新建一个文件夹名称为app&#xff0c;在app里存放相应的驱动文件 3、在app里新建文件夹“led” 4、在led里新建一个led.h文件&#xff…

【梦回stm32】-寄存器篇-跑马灯代码研读-总结

前言&#xff1a;学就要学懂&#xff0c;别以为做出来就行&#xff0c;要弄懂通路&#xff0c;思路要清楚-谨记 1.先讲讲背景&#xff0c;此次需要研究的是stm32的跑马灯代码&#xff0c;下图是个本实验用的正点原子的代码目录 1&#xff09;HARDWARE-》LED 2&#xff09;SYS…

【LM401】STM32WLE5+SPI接口驱动 2.66inch e-paper 墨水屏

实现LM401模组&#xff08;stm32wle5&#xff09;基于SPI接口驱动墨水屏显示字符 利用 SPI1 PA5 6 7接口 这里写目录标题0.实验结果1.硬件介绍2.模组SPI接口2.1 三路SPI介绍2.2 SPI 模式选择2.3 stm32单片机SPI引脚2.4 MCU和墨水屏幕引脚连线3. spi 驱动代码4. 墨水屏驱动代码4…

STM32自学☞定时器定时中断案例

timer_interrupt.c文件 /* 初始化函数编写步骤&#xff1a; 1.打开时钟 2.选择时基单元的时钟源&#xff08;内部时钟源&#xff09; 3.配置时基单元 4.NVIC配置 5.启动定时器 */ #include "stm32f10x.h" #include "stm32f10x_tim.h" #include …

matlab发送串口数据,并进行串口数据头的添加,我们来看下pwm解析后并通过串口输出的效果

uintt16位的话会在上面前面加上00&#xff0c;16位的话一定是两个字节&#xff0c;一共16位的数据 如果是unint8的话就不会&#xff0c; 注意这里给的是13&#xff0c;但是现实的00 0D&#xff0c;这是大小端的问题&#xff0c;在matlanb里设置&#xff0c;我们就默认用这个模式…

STM32自学☞PWM驱动舵机(按键控制)

PWM.c文件 #include "stm32f10x.h" /*初始化函数*/ void PWM_Init(void){ /*开启时钟*/ RCC_APB1PeriphClockCmd(RCC_APB1Periph_TIM2, ENABLE); //开启TIM2的时钟 RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA, ENABLE); //开启GPIOA的时钟 /*GPIO初始化*/ G…

Linux第47步_安装支持linux的第三方库和mkimage工具

安装支持linux的第三方库和mkimage工具&#xff0c;做好移植前的准备工作。 编译linux内核之前&#xff0c;需要先在 ubuntu上安装“lzop库”和“libssl-dev库”&#xff0c;否则内核编译会失败。 mkimage工具会在zImage镜像文件的前面添加0x40个字节的头部信息,就可以得到uI…

Linux第53步_移植ST公司的linux内核第5步_系统镜像打包并烧录到EMMC

本节主要学习系统镜像打包&#xff0c;然后将打包文件烧录到EMMC测试。 1、创建bootfs文件夹 1)、打开第1个终端 输入“ls回车” 输入“cd linux/回车”&#xff0c;切换到“linux”目录 输入“ls回车”&#xff0c;列出“linux”目录下的文件和文件夹 输入“cd atk-mp1/…

PWR电源控制

PWR电源 PWR简介 PWR&#xff08;Power Control&#xff09;电源控制 PWR负责管理STM32内部的电源供电部分&#xff0c;可以实现可编程电压监测器和低功耗模式的功能 可编程电压监测器&#xff08;PVD&#xff09;可以监控VDD电源电压&#xff0c;当VDD下降到PVD阀值以下或上…

STM32的三种下载方式

之前在keil4下实现了串口和JLINK下载方式&#xff0c;最近尝试STLINK方式&#xff0c;结果ST下载完成后没有反应。 于是将JLINK重新拿出来&#xff0c;结果在台式机上成功的两个案例&#xff0c;在新的笔记本上又嗝屁了。 报Keil jlink cannot load driver JL2CM3.dll错误 找…

模仿 STM32 驱动开发格式实验

1.模仿 STM32 寄存器定义 为了开发方便&#xff0c; ST 官方为 STM32F103 编写了一个叫做 stm32f10x.h 的文件&#xff0c;在这个文件 里面定义了 STM32F103 所有外设寄存器&#xff0c;我们可以使用其定义的寄存器来进行开发&#xff0c;比如我 们可以用如下代码来初始…

【笔记------STM32】MX_RTC_Init()初始化RTC时RTC_ISR_INITF位超时失败的解决方法

RTC和flash有点像&#xff0c;有些功能需要解锁才能配置&#xff0c;虽然cubeMX生成的RTC部分的解锁配置正确&#xff0c;但却没有配置好前提条件&#xff1a;关闭PWR模块的备份域写保护使能&#xff0c;有点奇怪&#xff0c;手动关掉就好了 现象&#xff1a;进入RTC_EnterInit…

STM32-电动车报警器

STM32-电动车报警器 1.振动传感器点亮LED灯 需求:当振动传感器接收到振动信号时&#xff0c;使用中断方式点亮LED1 //重写中断服务函数&#xff0c;如果检测到EXTI中断请求&#xff0c;则进入此函数 void HAL_GPIO_EXTI_Callback(uint16_t GPIO_Pin) {//一根中断线上接有多个…

STM32-SPI通信协议

串行外设接口SPI&#xff08;Serial Peripheral Interface&#xff09;是由Motorola公司开发的一种通用数据总线。 在某些芯片上&#xff0c;SPI接口可以配置为支持SPI协议或者支持I2S音频协议。 SPI接口默认工作在SPI方式&#xff0c;可以通过软件把功能从SPI模式切换…

STM32(5) GPIO(2)输出

1.点亮LED 1.1 推挽接法和开漏接法 要想点亮LED&#xff0c;有两种接法 推挽接法&#xff1a; 向寄存器写1&#xff0c;引脚输出高电平&#xff0c;LED点亮&#xff1b;向寄存器写0&#xff0c;引脚输出低电平&#xff0c;LED熄灭。 开漏接法&#xff1a; 向寄存器写0&…

STM32(13)串口

串口的数据帧 1.空闲 2.起始位 3.数据位 4.校验位&#xff08;可有可无&#xff09; 为了验证数据传输是否出错而设立的比特位 1和4传输方式比较常见 校验规则&#xff1a; 根据1的个数&#xff0c;校验位会自己补0或1 5.停止位 例子&#xff1a; 同步通信 异步通信 波特率 …

驱动进化之路:设备树的引入及简明教程

驱动进化之路&#xff1a;设备树的引入及简明教程 设备树的基本概念和产生背景 问题1&#xff1a; 以LED为例&#xff0c;当要更换LED所用的GPIO引脚时&#xff0c;需要修改驱动程序源码&#xff0c;重新编译驱动&#xff0c;重新加载驱动。 问题2&#xff1a; 由于芯片种类繁…

基于stm32微控制器的绘图机器人设计

目录 第一章 绪论 1 基于 stm32 微控制器的绘图机器人研究设计的目的 1 基于 stm32 微控制器的绘图机器人研究设计的设计功能 1 第二章 系统整体方案设计 2 第三章 硬件设计 4 硬件电路资源使用设计 4 1.1 STM32F103RBT6 片上资源 4 1.2 外围芯片资源 4 机械结构设计 5 通信…

【单片机毕业设计】【mcuclub-jj-035】基于单片机的保险柜的设计

最近设计了一个项目基于单片机的保险柜系统&#xff0c;与大家分享一下&#xff1a; 一、基本介绍 项目名&#xff1a;保险柜 项目编号&#xff1a;mcuclub-jj-035 单片机类型&#xff1a;STC89C52、STM32F103C8T6 具体功能&#xff1a; 1、通过震动传感器检测保险柜是否发生…

使用RS485芯片进行串口通讯

使用RS485芯片进行串口通讯RS485 芯片说明实操发送程序接收程序总结RS485 芯片说明 开发板使用的 RS485 芯片是 SP3485E 芯片&#xff0c;其引脚功能如下&#xff1a; 值得说明的点&#xff1a; $ \overline{RE} $ 和 DIDIDI 正好逻辑互补&#xff0c;这样的好处在于我们可以…

【正点原子STM32连载】 第五十二章 串口IAP实验 摘自【正点原子】APM32E103最小系统板使用指南

1&#xff09;实验平台&#xff1a;正点原子APM32E103最小系统板 2&#xff09;平台购买地址&#xff1a;https://detail.tmall.com/item.htm?id609294757420 3&#xff09;全套实验源码手册视频下载地址&#xff1a; http://www.openedv.com/docs/boards/xiaoxitongban 第五…

TFT-LCD屏幕显示图片

TFT-LCD屏幕显示图片 TFT_LCD显示图片时&#xff0c;图片大小选择要适配屏幕大小&#xff0c;如果要竖屏显示的话&#xff0c;则屏幕宽x高为240x320&#xff0c;所以图片也要找240x320的&#xff0c; 如果是横屏显示&#xff0c;则屏幕宽x高为320x240&#xff0c;所以图片也要…

STM32CUBEMX配置SDRAM

STM32CUBEMX配置SDRAM 驱动例子使用的型号为STM32H750XBH6,SDRAM的型号为W9812G6KH-6I。 1.SDRAM的基本工作原理 SDRAM的引脚主要有以下这些。 ​ 主要包含的功能可以分类为逻辑控制线&#xff0c;地址线和数据线。 SDRAM 内部包含的存储阵列&#xff0c;可以把它理解成一…

STM32个人笔记-电源管理

笔记来源于STM32F103VET6&#xff0c;野火指南者&#xff0c;中文参考手册&#xff0c;HAL库开发手册和b站的野火指南者视频。观看过好多次了&#xff0c;但往往理解得不够全面&#xff0c;现记下小笔记&#xff0c;用来回顾。属于个人笔记。 电源监控器 STM32芯片主要通过VDD…

蓝桥杯嵌入式第一篇 点亮LED灯开启成功之路

文章目录前言一、准备工作1.拿到开发板第一步看原理图2.下载STM32cubeMX二、开始点灯1.查看LED原理图2.cubeMX配置3.代码实现总结前言 从这篇文章开始将为大家带来最详细最全面的蓝桥杯嵌入式教学&#xff0c;本教程将使用STM32cubeMX教大家快速入门STM32。花最少的时间拿下国…

基于stm32的智能药盒

提示&#xff1a;记录2022年4月做的毕设 文章目录前言一、任务书1.1设计(研究)目标:1.2设计(研究)内容:二、框架思路三、硬件四、联系我们五、相关功能介绍六、喜欢请点赞哦&#xff01;前言 基于STM32的智能药盒&#xff0c;主控使用STM32F103&#xff08;正点原子战舰板子&a…

该不该放弃嵌入式,单片机这条路?

本文几乎浓缩了我从业10几年的精华&#xff0c;内容涵盖我转行、打工、创业的经历。 建议从头到尾不要错过一字一句&#xff0c;因为字里行间的经验之谈&#xff0c;或许能成为你人生重要转折点。 全文3700多字&#xff0c;写了6个多小时&#xff0c;如果你赶时间&#xff0c;建…

【TFT屏幕】1.44寸彩屏

文章目录一.硬件层——引脚配置的移植二.应用层——显示函数的移植1. 移植显示一个字符函数2. 移植显示数字函数3.叠加方式选择一.硬件层——引脚配置的移植 宏定义的方式&#xff0c;直接修改引脚&#xff0c;实测可直接更改&#xff0c;非常方便移植 /*******************…

STM32数据搬运工DMA

DMA的概念DMA&#xff0c;全称为&#xff1a;Direct Memory Access&#xff0c;即直接存储器访问。DMA 传输方式无需 CPU 直接控制传输&#xff0c;也没有中断处理方式那样保留现场和恢复现场的过程&#xff0c;通过硬件为 RAM 与 I/O 设备开辟一条直接传送数据的通路&#xff…

stm32cubemx IAP升级(三)

stm32cubemx IAP升级- UARTDMA实现不定长收发数据 板卡&#xff1a;Nucleo-L412 平台&#xff1a;macbook pro 工具&#xff1a;vscode stm32cubemx stm32cubeProgramer cmake toolchain Stm32CubeMx的配置 选择开启一路串口并配置成DMA&#xff0c;并使能中断&#xff0c;配…

五.开发常见问题1

五.开发常见问题1 1.EVK E54不同得模块工程代码如何快速合并到一个工程&#xff1f;&#xff1f; ----底层驱动原则上不修改&#xff0c;相关外设模块 导出 &#xff0c;再导入新工程&#xff0c;应用代码单独单独拷贝 2.代理得ethercat 开发DEMO D51板子 UART是哪个PIN ID…

一键下载电路(for STM32 and mcuisp)

一键下载电路 1. STM32 一键下载电路2. 烧录软件&#xff1a;mcuisp和FlyMcu下载3. 遇到问题 1. STM32 一键下载电路 博文连接 2. 烧录软件&#xff1a;mcuisp和FlyMcu下载 mcuisp和FlyMcu下载 3. 遇到问题 按如上博文电路设计&#xff0c;上电发现程序没有进入 main() 函…

基于stm32mp157 linux开发板ARM裸机开发教程5:ARM微处理器指令系统(连载中)

前言&#xff1a; 目前针对ARM Cortex-A7裸机开发文档及视频进行了二次升级持续更新中&#xff0c;使其内容更加丰富&#xff0c;讲解更加细致&#xff0c;全文所使用的开发平台均为华清远见FS-MP1A开发板&#xff08;STM32MP157开发板&#xff09; 针对对FS-MP1A开发板&…

STM32F103CBT6标准库函数驱动TM1640点亮数码管

一、今天介绍如何使用STM32F103CBT6驱动TM1640点亮数码管&#xff0c;硬件用的洋桃开发板&#xff0c;点亮后效果如下&#xff0c;六个数码管依次显示0.1.2.3.4.5.6.7 硬件原理图如下&#xff0c;只用到了单片机的两个IO口即可实现上图的效果&#xff0c;该开发板上用的是PA11…

手把手教你搭建ROS阿克曼转向小车之(霍尔编码器数据读取与速度计算)

上一篇文章已经介绍了如何驱动直流有刷电机转动起来&#xff0c;这篇文章讲解如何获取编码器的计数值&#xff0c;并且计算出速度信息。在实际的运行中&#xff0c;随着机器的重量不一样&#xff0c;电机受到的阻力就会不一样&#xff0c;给定同样的PWM在不同载重的情况下速度会…

Air32F103CBT6|CCT6|KEIL-uVsion5|本地编译|STClink|(6)、Air32F103编译下载

目录 一、环境搭建 准备工作 安装支持包 二、新建工程 添加外设库支持 测试代码 三、下载烧录 一、环境搭建 准备工作 安装MDK5&#xff0c;具体方法请百度&#xff0c;安装后需要激活才能编译大文件 下载安装AIR32F103的SDK&#xff1a;luatos-soc-air32f103: Air32f…

STM32+W5500实现以太网通信

STM32系列32位微控制器基于Arm Cortex-M处理器&#xff0c;旨在为MCU用户提供新的开发自由度。它包括一系列产品&#xff0c;集高性能、实时功能、数字信号处理、低功耗/低电压操作、连接性等特性于一身&#xff0c;同时还保持了集成度高和易于开发的特点。本例采用STM32作为MC…

STM32 PWM模式与输出比较模式的区别。PWM占空比不生效,在STM32CubeMX中配置PWM的两种模式——蓝桥杯嵌入式

&#x1f38a;【蓝桥杯嵌入式】专题正在持续更新中&#xff0c;原理图解析✨&#xff0c;各模块分析✨以及历年真题讲解✨都已更新完毕&#xff0c;欢迎大家前往订阅本专题&#x1f38f; &#x1f38f;【蓝桥杯嵌入式】蓝桥杯第十届省赛真题 &#x1f38f;【蓝桥杯嵌入式】蓝桥…

适用于嵌入式单片机的差分升级通用库+详细教程

1. 什么是差分/增量升级&#xff1f; 借用网上的介绍&#xff1a;适合嵌入式的差分升级又叫增量升级&#xff0c;顾名思义就是通过差分算法将源版本与目标版本之间差异的部分提取出来制作成差分包&#xff0c;然后在设备通过还原算法将差异部分在源版本上进行还原从而升级成目…

嵌入式走过的路

嵌入式走过的路 一.基础二.进阶三.驱动四.有感以下是个人一路走过的一点小结,送给眼前有需要的人。 一.基础 1.数电 模电。 电路基础知识、数电模电基础知识、常用的电子元器件,像二极管 三极管 电阻 电容电感等。2.C 包括三大语法结构、常用的数据类型、函数、结构体、指针、…

通过USB转TTL串口下载stm32程序

文章目录前言一、硬件及其接线二、使用步骤1.主芯片STM32F103C8T6开发板2.转串口模块接线3.CH340驱动及安装方法4.CH340驱动及安装方法4.下载测试5.0.91寸OLED 接口演示例程前言 前期我们下载程序都是使用STlink进行下载的&#xff0c;现在给大家提供一种新的程序下载方法&…

STM32时钟系统原理和作用

时钟对于单片机来讲类似于心脏对于人体&#xff0c;单片机通过时钟信号控制单片机工作。 1.时钟系统工作流程 时钟源输入----被分频或倍频----输出至系统/外设/外部接口 2.时钟分类 RC时钟精度低&#xff0c;体积小&#xff0c; OSC时钟精度高&#xff0c;体积大。 3.部分…

单片机01天_stm32f407zg_创建新工程

创建“寄存器版工程” 1、创建工程文件夹 创建工程文件夹“Project”&#xff0c;内部包含文件夹“CMSIS”&&“USER”。 CMSIS&#xff1a;Cortex系列内核接口驱动文件。 USER&#xff1a;存放用户编写的程序文件。 “USER”文件夹内包含“Inc”&&“Src”…

初识STM32单片机

目录 初识STM32单片机 什么是单片机&#xff1f; STM系列单片机命名规则 STM32F103C8T6单片机简介 标准库与HAL库区别 通用输入输出端口GPIO 什么是GPIO&#xff1f; 定义 命名规则 内部框架图 推挽输出与开漏输出 如何点亮一颗LED灯 编程实现点灯 按键点亮LED灯…

stm32利用CubeMX完成按键控制LED灯的点亮与熄灭

首先画电图&#xff0c;如下&#xff1a;&#xff08;会话最小系统后就可以不画了&#xff0c;如果要是画实物的话必须要有的&#xff0c;不能忘&#xff0c;模拟就无所谓了&#xff09; 然后是CubeMX设置时钟 这次使用的是内部8M时钟&#xff0c;这样能避免proteus闪退的情况&…

【ARM体系结构】之相关概念与公司简介

1、ARM相关的概念 机器码&#xff1a;计算机可以识别的0和1的组合。即高低电平的信号&#xff0c;1高电平信号&#xff0c;0低电平信号 汇编指令&#xff1a;编译器可以将汇编指令&#xff08;存在代码段&#xff09;编译成为机器码&#xff0c;执行汇编指令可以完成相应的汇编…

stm32mp157在使用SSH时,出现“Connection refused”问题解决

最近在使用STM32MP157开发项目&#xff0c;在配置ssh时&#xff0c;出现上面的错误&#xff0c;经过一番的折腾&#xff0c;算是解决了&#xff0c;在这里做一下记录&#xff0c;以备后续查阅。 我的板子有以下两个前提&#xff1a; 1、文件系统使用了buildroot构建&#xff0…

使用STM32 CUBE IDE配置STM32F7 用DMA传输多通道ADC数据

我的使用环境&#xff1a; 硬件&#xff1a;STM32F767ZGT6、串口1、ADC1、16MHz晶振、216MHz主频 软件&#xff1a;STM32 CUBE IDE 优点&#xff1a;不用定时触发采样&#xff0c;ADC数据是不停的实时更新&#xff0c;ADC数据的更新频率根据采样时钟和采样周期决定&#xff0c;…

pycharm控制STM32F103ZET6拍照并上位机接收显示(OV7670、照相机、STM32、TFTLCD)

基于STM32的照相机 准备工作最终效果一、下位机1、主函数2、OV7670初始化 二、上位机1、控制拍照2、接收图片数据 三、资源获取 准备工作 一、硬件及片上资源: 1,串口1(波特率:921600,PA9/PA10通过usb转ttl连接电脑&#xff0c;或者其他方法)上传图片数据至上位机 2,串口2(波特…

江科大stm32 定时器 TIM输出比较--学习笔记

这几天遇到输出比较相关的问题&#xff0c;于是来学习下TIM输出比较部分知识点&#xff01; 输出比较简介 CNT是计数器的值&#xff0c;CCR寄存器是捕获/ 比较寄存器 简单的讲&#xff0c;输出比较就是用来输出PWM波形。 PWM简介 占空比&#xff1a;高电平占一个周期的比例。…

九、STM32定时器讲解 - 通用定时器实战

目录 1.三种定时器的区别 2.通用定时器的特点描述 3.计数器模式 4.通用定时器工作过程 5.计数器时钟计算方法、 5.1定时器的输入时钟频率 - TimeClockFren 5.2计数器时钟计算方法 6.定时器相关寄存器 7.定时器库函数结构体 8.通用定时器函数 9.定时器中断配置过程 1…

红外线额温枪与红外线温度传感器的原理分析

额温枪主要针对测量人体额温基准而设计&#xff0c;使用也非常简单方便。测体温可以达到一秒即可准确测量。并且不需要接触人体&#xff0c;隔着空气即可一键测温。非常适合家庭、学校、企业等场所。 但是由于其精度原因&#xff08;一般为 0.2 ℃&#xff0c;也有更低的&#…

[标准库]STM32F103R8T6 高级定时器--PWM输出和带死区互补PWM输出

前言 STM32F103系列的MCU&#xff0c;相比普通的51单片机&#xff0c;在输出硬件PWM这个功能上要强不少&#xff0c;两者实现的方式都类似&#xff0c;都是通过一个定时器来启用硬件PWM输出&#xff0c;不过在输出PWM通道的数量上&#xff0c;32F103要强上不少。仅通过一个高级…

STM32单片机OLED显示

OLED接口电路STM32单片机OLED显示程序源代码#include "sys.h"#define OLED_RST_Clr() PCout(13)0 //RST#define OLED_RST_Set() PCout(13)1 //RST#define OLED_RS_Clr() PBout(4)0 //DC#define OLED_RS_Set() PBout(4)1 //DC#define OLED_SCLK_Clr()PCout(15)0 //SCL…

STM32单片机蓝牙APP可烘干升降晾衣架带照明灯

实践制作DIY- GC0123-蓝牙APP可烘干升降晾衣架 一、功能说明&#xff1a; 基于STM32单片机设计-蓝牙APP可烘干升降晾衣架 功能介绍&#xff1a; 硬件组成&#xff1a; STM32F103C系列最小系统单片机1个uln2003步进电机&#xff08;模拟升降&#xff09;1个uln2003步进电机&a…

STM32开发(10)----CubeMX配置基本定时器

CubeMX配置基本定时器前言一、定时器的介绍二、实验过程1.实验材料2.STM32CubeMX配置基本定时器2.代码实现3.编译烧录4.硬件连接5.实验结果总结前言 本章介绍使用STM32CubeMX对基本定时器进行配置的方法&#xff0c;STM32F103高性能系列设备包括基本定时器、高级控制定时器、通…

PID控制算法简介

目录 1 简介 2 比例Proportional 3 积分Integral 4 微分Differential 5 公式 6 积分限幅 7 积分限行 8 相关代码 1 简介 PID控制中有P、I、D三个参数&#xff0c;PID即&#xff1a;Proportional&#xff08;比例&#xff09;、Integral&#xff08;积分&#…

1、HAL库UART 中断|DMA 自动回显接收数据

1、实现代码&#xff1a; stm32f4xx_hal_conf.h文件开启UART宏定义 #define HAL_UART_MODULE_ENABLED添加stm32f4xx_hal_uart.c和stm32f4xx_hal_dma.c到自己工程&#xff1b; 编写好的代码&#xff1a;usart_Driver.c /***************************************************…

硬件学习 软件Cadence day04 PCB 封装绘制

1.文章内容&#xff1a; 1. 贴片式电容 PCB 封装绘制 &#xff08;型号 c0603 &#xff09; 2. 贴片式电阻 PCB 封装绘制 &#xff08;型号 r0603 &#xff09; 3. 安规式电容 PCB 封装绘制 &#xff08;这个就是 有一个电容&#xff0c;插入一个搞好的孔里面 …

K_A12_031 基于STM32等单片机驱动TEMT6000环境光传感器 串口与OLED0.96双显示

K_A12_031 基于STM32等单片机驱动TEMT6000环境光传感器 串口与OLED0.96双显示一、资源说明二、基本参数参数引脚说明三、驱动说明IIC地址/采集通道选择/时序对应程序:四、部分代码说明1、接线引脚定义1.1、STC89C52RCTEMT6000环境光传感器模块1.2、STM32F103C8T6TEMT6000环境光…

STM32Cube串口USART发送接收数据

本文代码使用 HAL 库。 文章目录前言一、USART 同步/异步串行接收/发送器二、USART 原理图三、CubeMX 创建工程四、usart.c 文件解析五&#xff0c;设计实验&#xff1a;在 串口输入字符点亮led实验现象&#xff1a;总结前言 这篇文章介绍 实现 USART 异步模式下 通过 串口助手…

STM32—DMA

什么是DMA&#xff1f; DMA(Direct Memory Access&#xff0c;直接存储器访问) 提供在外设与内存、存储器和存储器、外设与外设之间的高速数据传输使用。它允许不同速度的硬件装置来沟通&#xff0c;而不需要依赖于CPU&#xff0c;在这个时间中&#xff0c;CPU对于内存的工作来…

窗口看门狗(WWDG)实验

窗口看门狗 窗口看门狗&#xff08;WWDG &#xff09;通常被用来监测由外部干扰或不可预见的逻辑条件造成的应用程序背离正常的运行序列而产生的软件故障。 除非递减计数器的值在 T6 位&#xff08; WWDG -->CR 的第六位&#xff09;变成 0 前被刷新&#xff0c;看门狗电路…

【致敬未来的攻城狮计划】RA2E1环境搭建点亮发光二极管

开启攻城狮的成长之旅&#xff01;这是我参与的由 CSDN博客专家 架构师李肯和 瑞萨MCU &#xff08;瑞萨电子 (Renesas Electronics Corporation) &#xff09; 联合发起的「 致敬未来的攻城狮计划 」的第 2 天&#xff0c;点击查看活动计划详情 &#xff01; 开发环境搭建 开…

HAL库版FreeRTOS(上)

目录 FreeRTOS 简介初识FreeRTOS什么是FreeRTOS?为什么选择FreeRTOS&#xff1f;FreeRTOS 的特点商业许可 磨刀不误砍柴工查找资料FreeRTOS 官方文档Cortex-M 架构资料 FreeRTOS 源码初探FreeRTOS 源码下载FreeRTOS 文件预览 FreeRTOS 移植FreeRTOS 移植移植前准备添加FreeRTO…

APM/Air32F103/CH32F203/STM32F103程序互通说明

APM/Air32F103/CH32F203/STM32F103程序互通说明 ✨感觉国内中低端芯片就像 春秋时期&#xff0c;各诸侯群雄纷争的局面。各厂商都推出相关的替代竞品方案。这对于嵌入式开发从业者来说&#xff0c;有更多的开发方案可选。同时开发者不得不面对不同方案&#xff0c;项目移植工作…

STM32F4系列芯片RTC模块介绍

RTC是“实时时钟”的缩写&#xff0c;它是一种芯片&#xff0c;在计算机等电子产品中广泛应用。RTC提供了实时时钟计时功能和存储时间的能力&#xff0c;即时钟模块&#xff0c;常用于控制和记录时间的应用场合。 RTC的工作原理 RTC主要由时钟电路、电源管理电路、晶振电路、…

FreeRTOS - 二值信号量

一.信号量定义 信号量&#xff08;semaphore&#xff09;&#xff0c;多任务环境下使用的一种设施&#xff0c;负责协调多个任务&#xff0c;以保证任务能够正确&#xff0c;合理的使用公共资源。 二.二值信号量应用函数 函数原型#include “FreeRTOS.h”#include “semphr.h”…

STM32-DMA传输实验

关于DMA的笔记详见https://blog.csdn.net/Mr_rustylake/article/details/130140952?spm1001.2014.3001.5501 实验要求&#xff1a;按下key0按键&#xff0c;实现内部RAM中内存到内存的传输。 首先介绍相关的函数和结构体。 函数&#xff1a; 1、__HAL_RCC_DMAx_CLK_ENABLE…

基于AT89C51单片机的电子时钟设计与仿真

点击链接获取Keil源码与Project Backups仿真图&#xff1a; https://download.csdn.net/download/qq_64505944/87779867?spm1001.2014.3001.5503 源码获取 主要内容&#xff1a; 使用DS1302芯片作为计时设备&#xff0c;用6个7段LED数码管或者LCD162作为显示设备&#xff0c…

串口通信简介

1. 数据通信的基础概念 1.1 数据通信方式 按数据通信方式分类&#xff0c;可分为串行通信和并行通信两种。串行和并行的对比如下图所示&#xff1a; 串行通信的基本特征是数据逐位顺序依次传输&#xff0c;优点是传输线少、 布线成本低 、 灵活度高等优点&#xff0c;一般用…

PCout(n) -- STM32F103RCT6 位带操作

1. 使用位带操作控制GPIO口的输入、输出模式&#xff0c;以及输出的电平高、低 注&#xff1a;位带操作一般是操作单独的一个bit 位&#xff0c;而&&#xff0c;| 则可操作多个bit位&#xff0c;看自己的需求吧。&#xff08;不懂&&#xff0c;| 是什么意思的自行问度…

009 - STM32学习笔记 - 中断

009 - STM32学习笔记 - 中断 这节的内容&#xff0c;野火的官方视频我反复看了好几次&#xff0c;但是感觉火哥在这块讲解的特别绕&#xff0c;理解起来很吃力&#xff0c;后来在看了一下其他老师的视频&#xff0c;结合一些书本资料和官方手册&#xff0c;才搞清楚STM32中断该…

用C++ 包装STM32 官方固件库 - 链式调用改写初始化结构体

拿C 在固件库上套娃一层有几点原因&#xff1a; 固件库都是用C 写的&#xff0c;而我平时都用C&#xff0c;虽然是兼容的&#xff0c;但C 的一些特性我不喜欢&#xff1b;我不喜欢官方库的函数命名风格&#xff1b;各个厂家的固件库大同小异&#xff0c;但是“小异”的那一部分…

STM32标准库开发—实时时钟(BKP+RTC)

BKP配置结构 注意事项 BKP基本操作 时钟初始化 RCC_APB1PeriphClockCmd(RCC_APB1Periph_PWR, ENABLE);RCC_APB1PeriphClockCmd(RCC_APB1Periph_BKP, ENABLE);PWR_BackupAccessCmd(ENABLE);//设置PWR_CR的DBP&#xff0c;使能对PWR以及BKP的访问读写寄存器操作 uint16_t ArrayW…

stm32CubeIDE FMC 驱动LCD(8080)

一&#xff0c;TFT屏硬件接口16位&#xff0c;80并口。二&#xff0c;FMC介绍。FSMC&#xff08;Flexible Static Memory Controller&#xff09;&#xff0c;译为灵活的静态存储控制器。STM32F1 系列芯片使用 FSMC 外设来管理扩展的存储器&#xff0c;它可以用于驱动包括 SRAM…

STM32定时器的编码器接口模式

MCU为STM32L431&#xff0c;通用定时器框图&#xff1a; 编码器接口模式一共有三种&#xff0c;通过TIMx_SMCR寄存器的SMS[3:0]位来选择。模式1计数器仅在TI1FP1的边沿根据TI2FP2的电平来判断向上/下计数&#xff1b;模式2计数器仅在TI2FP2的边沿根据TI1FP1的电平来判断向上/下…

嵌入式学习笔记——寄存器实现控制LED小灯

文章目录前言GPIO通用输出模式初始化LED小灯的GPIO原理图初始化代码初始化的效果功能函数封装直接分开宏定义两个使用条件运算符封装函数实现简单的功能前言 上一篇中&#xff0c;介绍了GPIO相关的所有寄存器&#xff0c;并在最后简单实现了一个LED灯的控制&#xff0c;由于那…

【工具使用】STM32CubeMX-CRC配置

一、概述 无论是新手还是大佬&#xff0c;基于STM32单片机的开发&#xff0c;使用STM32CubeMX都是可以极大提升开发效率的&#xff0c;并且其界面化的开发&#xff0c;也大大降低了新手对STM32单片机的开发门槛。     本文主要讲述STM32芯片的CRC外设配置及CRC校验的一些基…

proteus中仿真arduino驱动模拟器件(蜂鸣器继电器电机)

模拟器件如蜂鸣器、继电器、直流电机等在arduino电路中&#xff0c;如果我们接在数字管脚上来驱动往往可能因为驱动电流不够而达不到预期效果&#xff0c;或者没有动作或者没有动静。这篇博文我们专门来讨论一下如何驱动他们。 文章目录一、典型电路1、蜂蜜器(1)蜂鸣器的种类:(…

STM32定时器使用计算

STM32F103ZE有8个定时器&#xff0c;其中2个高级定时器 TIM1、TIM8&#xff08;带死区控制&#xff09;&#xff0c; 4个通用定时器&#xff08;TIM2、TIM3、TIM4、TIM5&#xff09;&#xff0c;2个基本定时器&#xff08;TIM6、TIM7&#xff09;&#xff0c;没捕获比较通道&am…

stm32学习笔记-4OLED调试工具

4 OLED调试工具 [toc] 注&#xff1a;笔记主要参考B站 江科大自化协 教学视频“STM32入门教程-2023持续更新中”。 注&#xff1a;工程及代码文件放在了本人的Github仓库。 4.1 OLED显示屏介绍 学习任何一门语言就需要进行调试&#xff0c;stm32调试方式主要有以下三种&…

stm32H7内部flash存储结构体 固件库,寄存器结合使用,解决无法写入问题

目录一、慎用固件库1.HAL_FLASH_Program有问题&#xff0c;写入不正常2.采用直接操作寄存器操作flash二、测试函数一、慎用固件库 1.HAL_FLASH_Program有问题&#xff0c;写入不正常 2.采用直接操作寄存器操作flash 好处是写好一次&#xff0c;后面基本不会出问题 我使用的是…

基于STM32的简易数字频率计仿真设计(仿真+程序+设计报告+讲解)

基于STM32的简易数字频率计仿真设计演示视频1.主要功能2.仿真3. 程序4. 设计报告5.资料清单&下载链接基于STM32的简易数字频率计仿真设计(仿真程序设计报告讲解&#xff09;仿真图proteus 8.9 程序编译器&#xff1a;keil 5 编程语言&#xff1a;C语言 设计编号&#xf…

STM32之电动车报警项目实战

目录 一、433M无线发射接收模块介绍 二、项目设计 三、编写代码 1、初始化GPIO口引脚函数 2、编写中断服务函数 3、用外部中断共用入口函数&#xff0c;来调用外部中断处理回调函数 4、编写外部中断处理回调函数&#xff08;在这里编写项目设计的逻辑&#xff09; 5、把…

STM32MP157-QT-串口调试助手设计

文章目录前言STM32MP157串口调试助手widget.uipro文件widget.h头文件槽函数成员声明widget.cpp头文件扫描串口并添加到下拉列表串口配置参数获取配置参数打开、关闭串口读取数据信号读数据函数代码发送数据清空接收、发送区发送新行定时发送移植安装含编译 Qt 应用程序的交叉编…

STM32学习(七)

中断 打断CPU执行正常的程序&#xff0c;转而处理紧急程序&#xff0c;然后返回原暂停的程序继续运行&#xff0c;就叫中断。 中断的作用和意义 实时控制&#xff1a;在确定时间内对相应事件做出响应&#xff0c;如温度控制。故障处理&#xff1a;检测到故障&#xff0c;需要…

2. STM32学习 新建工程

固件库目前STM32的开发方式主要有基于寄存器的方式&#xff0c;基于标准库和基于HAL库的方式库函数文件夹目录2. 新建工程步骤•建立工程文件夹&#xff0c;Keil中新建工程&#xff0c;选择型号•工程文件夹里建立Start、Library、User等文件夹&#xff0c;复制固件库里面的文件…

STM32F103x 的时钟源

AHB (Advanced High-performance Bus) 高速总线&#xff0c;用来接高速外设的。 APB (Advanced Peripheral Bus) 低速总线&#xff0c;用来接低速外设的&#xff0c;包含APB1 和 APB2。 APB1&#xff1a;上面连接的是低速外设&#xff0c;包括电源接口、备份接口、 CAN 、 US…

【STM32MP157应用编程】1.控制LED

目录 LED文件 ​编辑 指令操作LED 程序操作LED 程序说明 程序代码 1_LED.c 启动交叉编译工具 编译 拷贝到开发板 测试 LED文件 在/sys/class/leds目录下存放了所有的LED类设备。 sys-led对应板子上的DS0&#xff0c;user-led对应板子上的DS1。 brightness&#xff…

K_A12_014 基于STM32等单片机驱动S12SD紫外线传感器模块 串口与OLED0.96双显示

K_A12_014 基于STM32等单片机驱动S12SD紫外线传感器模块 串口与OLED0.96双显示一、资源说明二、基本参数参数引脚说明三、驱动说明IIC地址/采集通道选择/时序对应程序:数据对比&#xff1a;四、部分代码说明1、接线引脚定义1.1、STC89C52RCS12SD紫外线传感器模块1.2、STM32F103…

辉光管时钟学习制作及开源软硬件工程

文章目录前言开源地址辉光管项目介绍辉光管的工作条件硬件部分部分介绍充电电路驱动电路不足之处软件部分总结前言 作为一个电子人&#xff0c;一直想做一个辉光管时钟&#xff0c;算是大学的一个心愿&#xff0c;终于在快要毕业前做了一个&#xff0c;下面把软件和硬件的部分…

辉光管时钟学习制作及开源软硬件工程

文章目录前言开源地址辉光管项目介绍辉光管的工作条件硬件部分部分介绍充电电路驱动电路不足之处软件部分总结前言 作为一个电子人&#xff0c;一直想做一个辉光管时钟&#xff0c;算是大学的一个心愿&#xff0c;终于在快要毕业前做了一个&#xff0c;下面把软件和硬件的部分…

STM32CubeMX按键模块化 点灯

本文代码使用 HAL 库。 文章目录前言一、按键原理图二、CubeMX 创建工程三、代码讲解&#xff1a;1. GPIO的输入HAL库函数&#xff1a;2. 消抖&#xff1a;3. 详细代码四&#xff0c;实验现象&#xff1a;总结前言 我们继续讲解 stm32 f103&#xff0c;这篇文章将详细 为大家讲…

一文解读电压放大器(电压放大器原理)

关于电压放大器的科普知识&#xff0c;之前讲过很多&#xff0c;今天为大家汇总一篇文章来详细的讲解电压放大器&#xff0c;希望大家对于电压放大器能有更清晰的认识。电压放大器是什么&#xff1a;电压放大器是一种常用的电子器件&#xff0c;它的主要作用是把输入信号的振幅…

基于STM32通过ESP01s制作的太空人WiFi天气时钟

目录 一、串口配置问题 二、函数调用问题 三、查找关键字&#xff0c;编码不识别问题 提前声明本文参考&#xff1a;基于STM32与ESP8266的太空人WiFi天气时钟&#xff08;代码开源&#xff09;_esp8266天气时钟_混分巨兽龙某某的博客-CSDN博客 首先感谢混分巨兽龙某某&am…

STM32——毕设远程室内灯光控制系统

运程室内灯光控制系统一、功能设计二、硬件选择三、按键说明四、产品主界面展示一、功能设计 本毕设以STM32 F103C8T6为主控核心板智能灯光控制系统,开发的简单易行的智能灯光控制系统,由智能手机通过蓝牙或无线与单片机系统的蓝牙或无线模块进行通信控制单片机板子上的led进行…

【蓝桥杯】【嵌入式组别】第十四节:PWM输出编程

PWM输出编程PWM输出原理单路PWM信号输出双路PWM信号输出目的&#xff1a;在特定管脚上产生频率和占空比可调的方波信号。比赛我们主要掌握PA6和PA7就可以了。PWM输出原理 CNT: 定时器中的计数器&#xff0c;配置成1us增加1; ARR: 自动重装载寄存器(相当于计数的周期)&#xff…

【蓝桥杯嵌入式】第十四届蓝桥杯嵌入式省赛(第一场)客观题及详细题解

题1 解析  编码器&#xff0c;具有编码功能的逻辑电路&#xff0c;能将每一个编码输入信号变换为不同的二进制的代码输出&#xff0c;是一个组合逻辑电路。 答案 ABC 题2 解析   减法计数器的计数值到0时&#xff0c;会产生一个重装载值&#xff0c;此处重载后就会变成111…

STM32F103RCT6驱动SG90舵机-完成正反转角度控制

一、SG90舵机介绍 SG90是一种微型舵机&#xff0c;也被称为伺服电机。它是一种小型、低成本的直流电机&#xff0c;通常用于模型和机器人控制等应用中。SG90舵机可以通过电子信号来控制其精确的位置和速度。它具有体积小、重量轻、响应快等特点&#xff0c;因此在各种小型机械…

STM-32:TIM编码器接口-编码器接口测速

目录一、编码器接口简介二、正交编码器三、编码器接口电路结构四、编码器接口基本结构五、抗噪声六、编码器接口测速程序6.1接线图6.2程序代码一、编码器接口简介 编码器有两个输出&#xff0c;一个A相&#xff0c;一个B相&#xff0c;然后接入STM32的定时器的编码器接口&#…

arduino和单片机的区别是什么?

在物联网&#xff08;IoT&#xff09;时代&#xff0c;我们经常听到关于Arduino和单片机&#xff08;microcontroller&#xff09;的说法。虽然二者在某些方面有着相似之处&#xff0c;但它们在很多方面也有着本质的区别。在本文中&#xff0c;我们将深入探讨这两个概念的不同点…

STM32F4驱动OV7670总结

前言&#xff1a;之前因为贪图便宜买了一个7块钱的ov7670摄像头&#xff0c;然后想用我的stm32开发板驱动起来结合rtthread做个小项目出来&#xff0c;但是一路上遇到了许多问题&#xff0c;最终没能成功&#xff0c;在此记录一下用stm32驱动ov7670的过程 项目框架如下&#xf…

【WCH】基于STM32F1标准库程序烧录到CH32F203中运行方法

【WCH】基于STM32标准库程序烧录到CH32F203中运行方法&#x1f4cc;相关篇《关于CH32F203程序下载方式说明》 ✨看到CH32F203手册上写的该芯片也是ARM Cortex-M3内核&#xff0c;那么上层代码应该也是兼容的&#xff0c;为例证实这一点&#xff0c;开干&#xff0c;先来一个简单…

从0学习stm32第二天

1.存储器结构 程序存存储器&#xff0c;数据存储器&#xff0c;寄存器和输入输出端口&#xff0c;被组织在同一个4G的线性地址空间中&#xff1b; 可以通过地址的方法访问对应的存储器或寄存器&#xff1b; 比如 0X12 34 56 78在内存中存储 低地址----------------------…

FreeRTOS 列表和列表项

文章目录一、什么是列表和列表项&#xff1f;二、列表和列表项初始化三、列表项插入四、列表项末尾插入五、列表项的删除六、列表的遍历七、列表项的插入和删除实验一、什么是列表和列表项&#xff1f; 1. 列表 列表是 FreeRTOS 中的一个数据结构&#xff0c;概念上和链表有点…

音乐芯片的原理是什么?

音乐芯片是一种比较简单的语音电路&#xff0c;它通过内部的振荡电路&#xff0c;再外接小量分立元件&#xff0c;就能产生各种音乐信号&#xff0c;音乐芯片是语音集成电路的一个重要分支&#xff0c;广泛用于音乐电子贺卡、电子玩具、音乐蜡烛、电子钟、电子门铃、家用电器等…

FreeRTOS 任务调度及相关函数详解(一)

文章目录一、任务调度器开启函数 vTaskStartScheduler()二、内核相关硬件初始化函数 xPortStartScheduler()三、启动第一个任务 prvStartFirstTask()四、中断服务函数 xPortPendSVHandler()五、空闲任务一、任务调度器开启函数 vTaskStartScheduler() 这个函数的功能就是开启任…

STM32 HAL库PID控制电机 第三章 PID控制双电机

STM32 HAL库PID控制电机 第三章 PID控制双电机 注:本文含全部PID控制代码,保证可以运行,如不能运行可以留言回复 1 基础配置 1.1 编码器电路图及配置 引脚定时器通道PA0TIM2_CH1PA1TIM2_CH2PB6TIM4_CH1PB7TIM4_CH2因此需要把TIM2、TIM4配置为编码器模式。在STM32CubeMX中…

STM32实战项目-温湿度传感器

程序功能&#xff1a; 1、软件模拟I2C协议与SHT30数字温湿度传感器通讯&#xff1b; 2、数码管显示环境温湿度&#xff1b; 3、串口打印环境温湿度。 目录 一、硬件电路 二、技术讲解 2.1IIC简介 2.2 IIC总线协议 2.2设备接入 三、SHT30数字温湿度传感器 3.1性能介绍 …

如何处理嵌入式中程序错误

一、错误概念 1.1 错误分类 从严重性而言&#xff0c;程序错误可分为致命性和非致命性两类。对于致命性错误&#xff0c;无法执行恢复动作&#xff0c;最多只能在用户屏幕上打印出错消息或将其写入日志文件&#xff0c;然后终止程序&#xff1b;而对于非致命性错误&#xff0…

【STC8A8K64D4开发板】——按键检测

第2-3讲&#xff1a;按键检测 学习目的学习轻触按键和触摸按键硬件电路原理。学习STC8A8K64D4用作输入时相关寄存器的配置。掌握如何读取GPIO状态。掌握编写轻触按键和触摸按键检测程序。 硬件电路设计 IK-64D4开发板上设计了4个轻触按键和一个触摸按键&#xff0c;提供给用户作…

为什么需要uboot?

一、先看概念 bootROM&#xff1a;一种固化在芯片内部的只读存储器&#xff08;ROM&#xff09;&#xff0c;用于启动和初始化系统。BootROM 中通常包含了一些预先编写好的代码&#xff0c;用于完成系统启动前的基本初始化和配置&#xff0c;例如初始化时钟、GPIO控制器、中断…

STM32 LCD-ADC-DMA实验

目录 1.1 STM32 DMA简介 1.2 STM32 DMA的操作 1.DMA的初始化 2. 初始化代码 3. 主函数代码 本文将向大家介绍 STM32 的 DMA。(如有错误&#xff0c;欢迎批评指正) 在本章中&#xff0c;我们将利用 STM32 的 DMA 来实现ADC1通道1内数据传送&#xff0c;并在 TFTLCD 模块上显…

[STM32F103C8T6]基于stm32的循迹,跟随,避障智能小车

目录 1.小车驱动主要是通过L9110S模块来驱动电机 motor.c 2.我们可以加入串口控制电机驱动(重写串口接收回调函数&#xff0c;和重定向printf) Uart.c main.c 3.点动功能 uart.c main.c 为什么使用的是HAL_Delay()要设置滴答定时器的中断优先级呢&#xff1f; 4.小车…

STM32F103基于标准库+I2C SSD1306仿数码管RTC时钟显示

STM32F103基于标准库I2C SSD1306仿数码管RTC时钟显示 ✨申明&#xff1a;本文章仅发表在CSDN网站&#xff0c;任何其他网站&#xff0c;未注明来源&#xff0c;见此内容均为盗链和爬取。 &#x1f341;对于文中所提供的相关资源链接将作不定期更换。 &#x1f4fa;显示效果&a…

STM32 平衡小车之电机驱动

TB6612FNG简介 单片机引脚的电流一般只有几十个毫安&#xff0c;无法驱动电机&#xff0c;因此一般是通过单片机控制电机驱动芯片进而控制电机。TB6612是比较常用的电机驱动芯片之一。 TB6612FNG可以同时控制两个电机&#xff0c;工作电流1.2A&#xff0c;最大电流3.2A。 VM电…

STM32-PWM输入捕获实验

PWM输入捕获模式是输入捕获模式的特例。输入捕获就是当连接到定时器的引脚上产生电平变化时对应的捕获装置会立即将当前计数值复制到另一个寄存器中。你可以开启捕获中断然后在中断处理函数中读出保存的计数值。 与输入捕获不同的是PWM输入模式会将同一个输入信号&#xff08;…

STM32学习,从点灯开始

大家好&#xff0c;我是程序员小哈。 综合实例&#xff1a;自动洗碗机的分享&#xff0c;上周五的直播完成了核心板的焊接&#xff0c;板子设计好了&#xff0c;也焊接完毕了&#xff0c;那么如何验证是否正确呢&#xff0c;既然是从0到1的教程&#xff0c;那么我们就先实现一…

嵌入式学习笔记——STM32的USART相关寄存器介绍及其配置

文章目录前言USART的相关寄存器介绍状态寄存器&#xff1a;USARTX->SR具体位代表的含义实际代码数据寄存器 USARTX->DR波特率寄存器 USARTX->BRR控制寄存器 (USART_CR)控制寄存器1&#xff08;USART_CR1&#xff09;控制寄存器2&#xff08;USART_CR2&#xff09;GPIO…

高精度延时

在使用STM32的时候可以使用SYSTICK来实现高精度延时。 I.MX6U没有SYSTICK定时器&#xff0c;但是有GPT定时器来实现高精度延时。 GPT&#xff08;General Purpose Timer&#xff09; GPT定时器是一个32位向上定时器&#xff08;也就是从0x00000000开始向上递增计数&#xff0…

STM32:GPIO配置和使用

目录 一、GPIO简介 1.1 GPIO的输入输出模式 1.1.1 输入模式 1.1.2 输出模式 二、GPIO的使用 2.1 引脚初始化 2.2 引脚使用 注&#xff1a;型号&#xff1a;STM32F407ZET6 一、GPIO简介 GPIO&#xff1a;通用输入输出接口 STM32上有A~H共8组&#xff0c;其中A~G7组每…

stm32 CubeMx 实现SD卡/sd nand FATFS读写测试

stm32 CubeMx 实现SD卡/SD nand FATFS读写测试 文章目录 stm32 CubeMx 实现SD卡/SD nand FATFS读写测试1. 前言2. 环境介绍2.1 软硬件说明2.2 外设原理图 3. 工程搭建3.1 CubeMx 配置3.2 SDIO时钟配置说明3.2 读写测试3.2.1 添加读写测试代码 3.3 FATFS文件操作3.3.1 修改读写测…

FreeRTOS多任务系统

FreeRTOS 文章目录 FreeRTOS1 单任务和多任务系统1.1 单任务系统1.2 多任务系统 2 FreeRTOS 任务状态3 FreeRTOS 任务优先级4 Free RTOS 任务调度方式4.1 抢占式调度4.2 时间片调度 5 FreeRTOS 任务控制块6 FreeRTOS 任务栈 1 单任务和多任务系统 1.1 单任务系统 单任务系统的…

20230424uart串口通信

#ifndef __UART_H__ #define __UART_H__ #include"stm32mp1xx_gpio.h" #include"stm32mp1xx_rcc.h" #include"stm32mp1xx_uart.h" //初始化函数 void hal_uart_init(); //发送一个字符 void hal_put_char(const char str); //发送一个字符窜 voi…

蓝桥杯嵌入式--串口收发数据异常的解决

前言最近在做题的时候遇到了一个问题&#xff0c;就是单片机与上位机之间的通信出现问题。例如我发送这样一个数组Data&#xff1a;{1&#xff0c;2&#xff0c;3&#xff0c;4}&#xff0c;即使用&#xff1a;HAL_UART_Transmit(&huart1,Data,4,500);但是上位机并没有收到…

基于Keil生成外部Nor Flash下载算法,并使用J-Flash直接烧录(以W25Q64为例)

需要的软件&#xff1a; Keil STM32CubeMX J-Flash 参考文档&#xff1a; 方法1&#xff1a;在Keil中点击Help→uVision Help&#xff0c;然后再搜索框中输入FLM&#xff0c;点击列出主题&#xff0c;可以看到生成下载算法的大致步骤&#xff1a; 方法2&#xff1a;在ARM Ke…

软件STM32cubeIDE下STM32F1xx使用定时器(TIM8)+DMA+PWM点亮灯带WS2812-基础样例

软件STM32cubeIDE下STM32F1xx使用定时器&#xff08;TIM8&#xff09;DMAPWM点亮灯带WS2812-基础样例 &#xff08;1&#xff09;前言&#xff08;2&#xff09;环境说明&#xff08;3&#xff09;先行了解本次调到坑里的两个点问题点&#xff08;1&#xff09;TIM3_ch2,在STM3…

GPIO四种输入和四种输出模式

GPIO的结构图如下所示&#xff1a; 最右端为I/O引脚&#xff0c;左端的器件位于芯片内部。I/O引脚并联了两个用于保护的二极管。 输入模式 从I/O引脚进来就遇到了两个开关和电阻&#xff0c;与VDD相连的为上拉电阻&#xff0c;与VSS相连的为下拉电阻。再连接到TTL施密特触发…

基于stm32物联网开发板(2)--LCD屏幕

基于stm32物联网开发板(2)–LCD屏幕 LCD应用展示&#xff1a; LCD屏幕应用 1.概述 屏幕尺寸为1.3寸&#xff0c;分辨率240*240&#xff0c;颜色格式RGB565&#xff0c;驱动IC&#xff1a;ST7789VW&#xff1b;超大可视角度:大于160(显示屏中可视角度最大的一种屏幕)&#xff1…

STM32-HAL-SPI-W25Q128FV简单读写测试(2)

文章目录 一、Flash的基本读写操作1.1 向芯片中的某个地址&#xff08;addr:0x02&#xff09;连续写入不定长的数据并读取代码示例读写流程分析函数分析 1.2 向芯片中的某个地址&#xff08;addr:0x00&#xff09;写入一个数值代码示例&#xff1a;读写流程分析 具体的配置接上…

stm32103ZET6使用编码器(磁电增量式)

这里写目录标题 磁电增量式编码器介绍TIM定时器&#xff08;编码器接口模式&#xff09;一些用到的算法均值滤波冒泡排序&#xff08;从小到大&#xff09;一阶低通滤波 编码器测数代码编码器接口HAL库函数 正点原子的电机例程(原例程用的是stm32f407&#xff0c;我这里改成用s…

【STM32CubeMX】串口通信

前言 本文记录下我学习STM32CubeMX时的流程&#xff0c;方便以后回忆。本章记录串口通信。这里居然有玄学问题&#xff0c;给我整了好久&#xff0c;头都大了。可能也是我能力有限才有的吧&#xff0c;泪目。 目录 串口通信 串口通信 STM32CubeMX中的串口配置&#xff0c;配…

嵌入式开发--无刷电机学习4--SVPWM

SVPWM空间矢量脉宽调节 这张图是基于α和β坐标系&#xff0c;也就是定子磁场坐标系&#xff0c;图中的Uout就是定子磁场的空间矢量&#xff0c;它的角度表示定子线圈产生磁场的方向&#xff0c;长度表示磁场的强度&#xff0c;以电机匀速旋转为例&#xff0c;FOC控制的目标就是…

STM32的位带操作

STM32的位带操作 为什么需要位带操作&#xff1f; 因为编程需要操作某个bit位来达到我们想要的功能&#xff0c;比如点灯需要操作GPIOA->ODR 的某个bit假设是第2bit&#xff0c;写1就可以让GPIO输出一个高电平。 GPIOA->ODR | 1<<2;这样写其实有三个隐含的操作…

BetaFlight统一硬件配置文件研读之timer命令

BetaFlight统一硬件配置文件研读之timer命令 1. 源由2. 代码分析3. 实例分析4. 配置情况4.1 AFn配置查表4.2 timer4.3 timer show4.4 timer pin list 5. 参考资料 统一硬件配置文件的设计是一种非常好的设计模式&#xff0c;可以将硬件和软件的工作进行解耦。 1. 源由 cli命令…

按键外部中断

文章目录 运行环境&#xff1a;1.1 按键外部中断1)按键检测2)外部中断的3中触发方式 2.1配置1)原理图2)引脚配置3)中断配置4)RCC和SYS 3.1代码分析3.2添加代码1)中断处理函数IRQ中添加电平转换代码2)launch设置 5.1实验效果 运行环境&#xff1a; ubuntu18.04.melodic 宏基暗影…

RS485驱动分析

嵌入式系统上一般使用串口转485。 485驱动串口驱动GPIO的字符驱动&#xff0c;串口驱动一般都用系统提供的&#xff0c;不需要自己写&#xff0c;需要自己写的只有GPIO字符驱动&#xff0c;并在应用程序中调用GPIO字符驱动及串口驱动。 GPIO驱动 驱动结构定义 定义操作函数 …

【看门狗】我说的是定时器不是狗啊

单片机在运行中死机了&#xff0c;你或许只能按2下电源键&#xff08;重启&#xff09;或1下复位键。 这里简单说一下重启和复位&#xff1a; 从RESET引脚复位&#xff0c;只有MCU复位。而外设看情况&#xff0c;有的可能会有MCU同步复位或者重新初始化。也有可能一些保持复位…

仿真创新大赛—国三省一 智能鱼缸(proteus)(stm32)

⏩ 大家好哇&#xff01;我是小光&#xff0c;嵌入式爱好者&#xff0c;一个想要成为系统架构师的大三学生。 ⏩去年下半年参加了全国仿真创新大赛&#xff0c;也是取得了国赛三等奖&#xff0c;省赛一等奖的好成绩。 ⏩本篇文章对我们的参赛作品《智能鱼缸》做一个简介。 ⏩感…

技能梳理38@stm32+CC2530+超声波+光敏+oled

技能梳理38stm32CC2530超声波光敏oled 1、项目简介 2、实现逻辑 #主从机使用zigbee通信 #主机oled显示当前停车场位置图 #从机通过超声波和光敏一起检测是否有车 #当某位置车辆进出&#xff0c;在主机上oled进行提示 3、应用场景 #车辆无线检测 #货架商品有无检测 4、核心…

STM32F103 系统架构

1、Cortex M3 内核 & 芯片 ARM公司提供内核&#xff08;如Cortex M3&#xff0c;简称CM3&#xff0c;下同&#xff09;授权&#xff0c;完整的MCU还需要很多其他 组件。芯片公司&#xff08;ST、NXP、TI、GD、华大等&#xff09;在得到CM3内核授权后&#xff0c;就可以把C…

STM32-HAL-SPI-读写W25Q128FV-JEDEC ID(1)

文章目录 一、SPI串行通信协议1.1 SPI通信协议简介1.2 SPI工作原理1.3 SPI特性 二、W25Q128FV芯片介绍2.1 芯片基本参数介绍2.2 芯片管脚介绍2.3 技术手册等更多信息 三、开发板的板载Flash的连接电路四、测试准备五、初始化片上外设SPI15.1 初始化SPI15.2 设置片选引脚PB145.3…

STM32F4_DHT11数字温湿度传感器

目录 前言 1. DHT11简介 2. DHT11数据结构 3. DHT11的传输时序 3.1 DHT11开始发送数据流程 3.2 主机复位信号和DHT11响应信号 3.3 数字 “0” 信号表示方法 3.4 数字 “1” 信号表示方法 4. 硬件分析 5. 实验程序详解 5.1 main.c 5.2 DHT11.c 5.3 DHT11.h 前言 DH…

stm32 iic驱动ds1307实际使用驱动

本文使用的工程代码如下&#xff1a; (1条消息) stm32iic驱动ds1307实际使用驱动&#xff0c;参考博客&#xff1a;资源-CSDN文库 上次我记得写了一个使用开发板测试DS1307的测试例程&#xff0c;DS1307也是使用测试板&#xff0c;后面项目上具体使用了&#xff0c;又优化了驱…

使用RT-Thread Studio搭配STM32CubeMX新建RT-Thread项目

STM32CubeMX下载 RT-Thread Studio下载 安装好RT-Thread Studio后&#xff0c;先打开RT-Thread SDK管理器确认有没有自己MCU需要的SDK包&#xff0c;直接安装好之后里面是有STM32F1系列的SDK包&#xff0c;其他的需要自己安装。 之后点击文件→新建→RT-Thread项目&#xff0…

基于STM32F103-HAL库-IAR的BOOT和APP编写

前言&#xff1a; 在单片机中&#xff0c;将程序分为boot和app&#xff0c;这样可以实现一些功能&#xff1a;使用串口更新app等等&#xff1b; 需求&#xff1a; 编写boot和sys程序段&#xff0c;分别放在flash内存不同位置&#xff0c;先执行boot然后执行sys&#xff1a;boo…

012 - STM32学习笔记 - DMA_存储器到存储器

011 - STM32学习笔记 - DMA直接存储器 1、DMA简介 我们知道stm32在实际应用过程中具有很强大的功能&#xff0c;包含数据的采集、处理、逻辑功能的运算等&#xff0c;因此stm32一直在处理大量的事务&#xff0c;但是在实际使用过程中&#xff0c;我们知道有些事情实际上不需要…

[实训] 实验1-SPI数据传输基础实验(上)

目 录​​​​​​​ 一、实验目的 二、实验仪器及器件 三、实验内容及原理 四、实验步骤​​​​​​​ 五、实验测试数据表格记录 六、实验数据分析及处理 七、实验结论与感悟 一、实验目的 使用FPGA/ARM实现SPI数据传输实验&#xff1b;实现数据传输程序的编写、下载…

TIM输入不捕获-STM32

TIM输入不捕获-STM32 IC(Input Capture) 输入捕获 输入捕获模式下&#xff0c;当通道输入引脚出现指定电平跳变时&#xff0c;当前CNT的值将被锁存到CCR中&#xff0c;可用于测量PWM波形的频率、占空比、脉冲间隔、电平持续时间等参数 每个高级定时器和通用定时器都拥有4个输入…

【STM32】基础知识 第十一课 时钟

【STM32】基础知识 第十一课 时钟 概述时钟系统的基本概念什么是时钟时钟树锁相环APB 时钟树F1 系列时钟树F4 系列时钟树F7 系列时钟树H7 系列时钟树 系统时钟配置系统时钟配置步骤外设时钟的使能和使能HAL_RCC_OscConfig() 函数HAL_RCC_ClockConfig() 函数 概述 STM32 微控制…

Zephyr queue

文章目录简介队列数据结构alloc_nodek_queue队列的基本操作void k_queue_init(struct k_queue *queue)void k_queue_cancel_wait(struct k_queue *queue)queue_insertvoid k_queue_append(struct k_queue *queue, void *data)int32_t k_queue_alloc_append(struct k_queue *que…

STM32F4_USMART调试组件

目录 1. USMART是什么&#xff1f; 2. USMART的特点 3. USMART实现流程 4. USMART组件 5. 在usmart_config.c中添加想要被USMART调用的函数 6. 实验程序 6.1 main.c 6.2 usmart.c 6.3 usmart.h 7. USMART调试的优越性说明 1. USMART是什么&#xff1f; USMART 是 AL…

(六)【平衡小车制作】位置式PID、直立环与速度环编程

本篇文章我将针对位置式PID算法、直立环、速度环等的编程进行详细的讲解&#xff0c;让每位小伙伴能够对这三个概念的编程逻辑有更加清晰的理解。 一、直立环&#xff08;PD控制器&#xff09; 1.中文公式  直立环输出Kp1角度偏差Kd角度偏差的微分  // 角度偏差真实角度-期…

Esp8266+阿里云+STM32点灯(一)

目录 1、简介 2、固件烧录 2.1进入安信可官网 2.2 下载Esp8266-01s模块固件库 2.3 下载开发工具 2.4接线示意图 2.5固件下载 3 串口通讯接 1、简介 通过Esp8266-01s模块阿里云STM32F103C8T6单片机进行远程点灯。 2、固件烧录 2.1进入安信可官网 官网地址 2.2 下载Es…

STM32:利用PWM波控制飞盈电调过程和注意事项

STM32&#xff1a;利用PWM波控制电调过程和注意事项 在进行模型控制的过程中&#xff0c;如四旋翼无人机等&#xff0c;需要用到电机&#xff0c;这些电机需要通过电调来控制电机的转速。在电调模块中带有的说明书一般都是利用遥控器进行控制&#xff0c;有些情况需要自己通过…

分析vmlinux编译过程

vmlinux可执行文件产生&#xff1a; 1.在内核源码顶层目录下打开Makefile文件&#xff0c;搜索vmlinux&#xff0c;找到以下代码&#xff0c;可从中得知vmlinux的生成依赖于vmlinux-deps 1178 vmlinux: scripts/link-vmlinux.sh autoksyms_recursive $(vmlinux-deps) FORC…

物联网基础补强|问题汇总(1)

问题1&#xff1a;串口的中断源是哪一个&#xff1f; 答案&#xff1a;NVIC_IRQChannel USART1_IRQn。 问题2&#xff1a;抢断优先级和子优先级的关系是什么&#xff1f; 答案&#xff1a;抢断优先级的等级&#xff08;或者权限&#xff09;比子优先级更高。 问题3&#x…

51单片机(十)DS1302实时时钟

❤️ 专栏简介&#xff1a;本专栏记录了从零学习单片机的过程&#xff0c;其中包括51单片机和STM32单片机两部分&#xff1b;建议先学习51单片机&#xff0c;其是STM32等高级单片机的基础&#xff1b;这样再学习STM32时才能融会贯通。 ☀️ 专栏适用人群 &#xff1a;适用于想要…

对USB协议的通俗理解

目录简介背景特点版本USB数据流模型总线拓扑结构USB通信流端点管道电气标准USB接口类型USB Type-AUSB Type-BUSB Type-CPIN脚定义4PIN9PIN5PIN24PIN16PIN6PINUSB数据传输相关概念通讯方式通讯方向同步方式帧和微帧USB数据格式域包令牌包数据包握手包特殊包事务IN事务OUT事务SET…

《嵌入式系统》知识总结4:STM32时钟源

此图说明了STM32的时钟走向&#xff0c;从图的左边开始&#xff0c;从时钟源一步步分配到外设时钟。 时钟源分类 从时钟频率来说&#xff0c;分为高速时钟和低速时钟&#xff0c;高速时钟是供给芯片主体的主时钟&#xff0c;而低速时钟只是供 给芯片中的RTC&#xff08;实时时…

STM32H7 DMA

CubeMX配置 发送调用&#xff1a; 发送速度确实挺快的。 接收&#xff1a; HAL_UART_Receive_DMA(&huart1,Rxbuffer,sizeof(Rxbuffer)); 这个函数开启DMA接收,将收到的数据存放到Rxbuffer数组中去,当接收到了sizeof(Rxbuffer)个数据就会回调DMA1_Stream0_IRQHandler函数…

STM32-高级定时器输出比较模式实验

比较输出功能&#xff1a;定时器通过对预设的比较值与定时器特定寄存器的值做比较之后&#xff0c;并依据相应的输出模式从而实现各类输出&#xff0c;如PWM输出、电平翻转、单脉冲模式等。一般来说&#xff0c;STM32的通用定时器和高级定时器都具有比较输出功能&#xff0c;不…

《嵌入式系统》知识总结3:STM32微控制器

STM32系列产品命名规则 以stm32f103zet6为例 Stm32微控制器架构 模块 • 处理器核心 • 存储器 • 时钟电路、系统总线 • 外设&#xff08;硬件单元&#xff09;&#xff1a;I/O接口、通信接口、定时器 、ADC和DAC&#xff0c; …… 系统结构 哈佛存储结构 • 独立的…

keil5使用c++编写stm32控制程序

keil5使用c编写stm32控制程序一、前言二、配置图解三、std::cout串口重定向四、串口中断服务函数五、结尾废话一、前言 想着搞个新奇的玩意玩一玩来着&#xff0c;想用c编写代码来控制stm32&#xff0c;结果在keil5中&#xff0c;把踩给我踩闷了&#xff0c;这里简单记录一下。…

项目连载方式

协议介绍 芯片介绍 读写操作 小熊派驱动系列连载正点原子的代码重新用Cubemx实现协议分析项目制作单片机上云的代码移植可以使用Arduino接管或者使用以太网、或者ESP8266移植开源项目复刻 在小熊派的板子上进行简单的步骤实现&#xff0c;函数分析&#xff0c;在正点原子的…

RT-Thread Nano在keil Simulator中的仿真

目的&#xff1a;使用STM32CubeMX生成包含RT-Thread Nano内核和FinSH控制台的keil工程&#xff0c;在没有硬件开发板的情况下&#xff0c;通过keil Simulator来运行系统&#xff0c;并通过SHELL来与系统进行交互。 一、使用STM32CubeMX生成RT-Thread Nano工程 官方文档已经说…

GPIO输出——LED闪烁、LED流水灯、蜂鸣器

1、STM32F1 GPIO 简介 GPIO &#xff08; General Purpose Input Output &#xff09;通用输入输出口 可配置为 8 种输入输出模式 引脚电平&#xff1a; 0V~3.3V &#xff0c;部分引脚可容忍 5V 输出模式下可控制端口输出高低电平&#xff0c;用以驱动 LED 、控制蜂鸣器、模拟通…

正点原子STEMWIN死机

在用正点原子STM32F4开发板&#xff0c;搭配对应的button历程时&#xff0c;发现运行一会&#xff0c;button都无法使用了&#xff0c;以为是emwin死机了&#xff0c;但是看到Led还在闪烁&#xff0c;排除系统死机问题。那就是emwin的任务没有运行起来&#xff0c;但是打断点后…

ArduPilot之开源代码UARTs and the Console使用

ArduPilot之开源代码UARTs and the Console使用 1. 源由2. UART定义2.1 HAL_Empty2.2 HAL_ChibiOS2.3 HAL_ESP322.4 HAL_Linux2.5 HAL_SITL 3. 配置参数3.1 SERIALx_BAUD3.2 SERIALx_PROTOCOL3.3 SERIALx_OPTIONS3.4 SERIAL_PASSx3.5 SERIAL_PASSTIMO 4. UART常用函数5. 示例代…

MCU通用移植方案

MCU通用移植方案 目录 MCU通用移植方案前言1 硬件移植2 软件移植2.1 底层移植方法2.1.1 移植原理2.1.2 移植方法 2.2 中间层移植方法2.2.1 移植原理2.2.2 移植方法 2.3 两种移植方法比对 3 结束语 前言 因为项目的需求或者成本控制等因素&#xff0c;我们经常会遇到更换MCU的情…

STM32H743烧录后无法识别Jlink的问题

利用Cubemx生成H743的代码&#xff0c;点击烧录后&#xff0c;无法再识别到Jlink了&#xff0c;只能烧录时按着复位再松开&#xff08;类似51单片机&#xff09;。 烧录后不运行&#xff0c;用keil进行debug&#xff0c;调试发下芯片进去内存管理错误中断。 经过不断调试发现&…

【正点原子STM32连载】 第十八章 独立看门狗(IWDG)实验 摘自【正点原子】STM32F103 战舰开发指南V1.2

1&#xff09;实验平台&#xff1a;正点原子stm32f103战舰开发板V4 2&#xff09;平台购买地址&#xff1a;https://detail.tmall.com/item.htm?id609294757420 3&#xff09;全套实验源码手册视频下载地址&#xff1a; http://www.openedv.com/thread-340252-1-1.html 第十八…

STM32入门100步(第1步~第3步)

第一章 基础知识与平台建立 第1~2步 是时候学ARM了 1.1 为啥学? 什么是ARM? ARM处理器是英国Acorn有限公司设计的低功耗成本的第一款RISC(精简指令集)微处理器。全称为Advanced RISC Machine。ARM是一种性能出众的32位处理器的内核架构。1991年,一家叫ARM的公司在英国…

STM32F103C8T6+2.4寸SPI TFT触摸屏代码+标准库 项目开发

目录 模块清单&#xff1a; 模块介绍&#xff1a; 1&#xff1a;STM32F103C8T6 2&#xff1a;2.4寸SPI TFT触摸屏 项目结果展示 2.4寸 TFT SPI显示触摸屏 2.4寸 SPI TFT 显示触摸屏代码下载链接&#xff1a; (1条消息) 2.4寸SPITFT显示触摸屏资源-CSDN文库 模块清单&#x…

一、STM32开发环境的搭建(Keil+STM32CubeMX)

1、STM32开发环境所需的东西 (1)KeilMDK安装包。 (2)STM32CubeMX。 (3)Keil软件对应的单片机pack包。 (4)STM32Cube MCU包。 2、Keil简介及安装 略 3、CubeMX简介及安装 3.1、CubeMX简介 (1)STM32CubeMX是一种图形工具&#xff0c;通过分步过程可以非常轻松地配置STM3…

搭建stm32电机控制代码框架(四)——单路PWM生成

STM32中单路PWM的生成一般是基于某一个通用定时器&#xff0c;本次小实验选取TIM2通用定时器&#xff0c;选择PA5作为PWM端口输出。配置步骤如下&#xff1a; 第一步&#xff1a;配置外部晶振与基本的时钟&#xff0c;如下图所示。 &#xff08;1&#xff09;选择外部晶振 &a…

为什么串行接口速率比并行接口快?

串行接口的速率会比并行快&#xff0c;可以从下面四个方面考虑&#xff1a; ①高速串口不需要时钟信号来同步数据流&#xff0c;也就没有时钟周期性的边沿&#xff0c;频谱不会集中&#xff0c;所以噪声干扰少很多。 以PCIE和SATA为例&#xff0c;时钟信息通过8b/10b编码已经集…

高创伺服电机飞车问题

高创伺服电机飞车问题 兜兜转转又回到了伺服电机上&#xff0c;此次遇到的伺服电机飞车问题困扰了我有好长一段时间。在飞车发生时&#xff0c;除了电机飞速转动使设备抖动带来的物理冲击&#xff0c;也有身边其他人你一言我一语的情绪冲击。希望这个办法可以解决你的飞车问题…

嵌入式系统入门基础知识分析(二)

目录 ​编辑 1、GPIO原理与结构 2、A/D接口 3、D/A接口基本 4、键盘接口

Hi3861 移植 LVGL

一、前言 给 Hi3861 适配了硬件 spi &#xff0c;master 模式下最高 spi 速率可以达到 40M&#xff0c;用来驱动 oled 屏幕。 适配过程遇到了一个芯片bug&#xff0c;困扰了很久&#xff0c;clk 管脚驱动能力差&#xff0c;需要外接一个上拉电阻才能正常运行。适配完成移植 lvg…

【已解决】Arduino编译错误error: ordered comparison of pointer with integer zero的解决方法

在使用Arduino的资源库对STM32编程时&#xff0c;出现&#xff1a; error: ordered comparison of pointer with integer zero (byte* {aka unsigned char*} and int) 编译错误的解决方法。 Arduino因其开源和易用性&#xff0c;丰富的三方资源&#xff0c;受到很多人的喜欢…

关于GD32替换STM32(pin to pin)搭载rt-thread操作系统,需要注意的问题总结

1、SystemInit()函数 该函数位于启动文件中的Reset_Handler中(具体实现在GD32位于system_gd32f4xx.c&#xff0c;STM32位于system_stm32f4xx.c中&#xff0c;几乎所有的文件&#xff0c;你只要把gd换成st就能找到对应的文件)&#xff0c;gd的叫startup_gd32Fxxx.s&#xff0c;…

树莓派(主)与STM32(从)使用SPI通信

1.实验目的 2.SPI 简介 SPI&#xff08;Serial Peripheral Interface&#xff0c;串行外设接口&#xff09;是Motorola公司提出的一种同步串行数据传输标准 2.1 接口 SPI接口经常被称为4线串行总线&#xff0c;以主/从方式工作&#xff0c;数据传输过程由主机初始化。如图1…

基于AT89C51单片机的温度控制系统报警器

点击链接获取Keil源码与Project Backups仿真图&#xff1a; https://download.csdn.net/download/qq_64505944/87771724?spm1001.2014.3001.5503 源码获取 单片机读取温度传感器当前的温度值并在LCD液晶显示屏上的第一行显示当前的温度值&#xff0c;单片机读取按键状态并通过…

STM32F401RET6 LQFP64 (Nucleo-F401RE) SPI通信(主从双机SPI通信)

STM32F401RET6 LQFP64 (Nucleo-F401RE) SPI通信&#xff08;主从双机SPI通信&#xff09; 1.1 SPI总线介绍 SPI 通讯使用 3 条总线及片选线&#xff0c;3 条总线分别为 SCK、MOSI、MISO&#xff0c;片选线为NSS(CS) NSS 信号线由高变低 &#xff0c;是 SPI 通讯的起始信号 。…

【CW32开发】00 开发环境搭建和示例代码运行

1.下载MDK 2.下载芯片相关的固件库 我用的是芯片是CW32F030系列&#xff0c;所以下载相应的固件库 下载地址&#xff1a;https://www.whxy.com/support/filelist/13 3.安装固件库 解压下载的文件&#xff0c;并在cw32f030-stdperiph-lib\IdeSupport\MDK路径下安装固件库 …

ESP32CAM,点亮一个LED(Arduino平台)

前言 &#xff08;1&#xff09;在此&#xff0c;吐槽一下乐鑫的函数介绍&#xff0c;真的难找。恶心的一批。气死我了。 &#xff08;2&#xff09;接下来我将会介绍我是如何找到ESP32的Arduino平台的函数库的。你将会知道为啥我这么大的戾气。 &#xff08;3&#xff09;同时…

【正点原子STM32连载】 第十五章 按键输入实验 摘自【正点原子】STM32F103 战舰开发指南V1.2

1&#xff09;实验平台&#xff1a;正点原子stm32f103战舰开发板V4 2&#xff09;平台购买地址&#xff1a;https://detail.tmall.com/item.htm?id609294757420 3&#xff09;全套实验源码手册视频下载地址&#xff1a; http://www.openedv.com/thread-340252-1-1.html 第十五…

【正点原子STM32连载】 第十六章 外部中断实验 摘自【正点原子】STM32F103 战舰开发指南V1.2

1&#xff09;实验平台&#xff1a;正点原子stm32f103战舰开发板V4 2&#xff09;平台购买地址&#xff1a;https://detail.tmall.com/item.htm?id609294757420 3&#xff09;全套实验源码手册视频下载地址&#xff1a; http://www.openedv.com/thread-340252-1-1.html 第十六…

二、KeilMDK+STM32CubeMX建立工程_LED灯闪烁为例

1、开发环境 (1)KeilMDK&#xff1a;V5.38.0.0 (2)STM32CubeMx:V6.8.1 (3)MCU:STM32F407ZGT6 2、实验目的&原理图 2.1、实验目的 实现两个LED灯以500毫秒闪烁一次。 2.2、原理图 (1)LED阴极接GPIO&#xff0c;GPIO输出低电平&#xff0c;LED点亮&#xff1b;GPIO输…

从零手写操作系统之RVOS硬件定时器-05

从零手写操作系统之RVOS硬件定时器-05 RISC-V 定时器中断RISC-V CLINT 介绍寄存器 (Timer 部分)总体框架流程硬件定时器的应用时间管理测试 本系列参考: 学习开发一个RISC-V上的操作系统 - 汪辰 - 2021春 整理而来&#xff0c;主要作为xv6操作系统学习的一个前置基础。 RVOS是…

STM32F4_RS485、RS232

目录 1. 485简介 2. 串口UART存在的问题 3. RS232协议 4. RS485协议 6. 硬件分析 7. 实验程序 7.1 main.c 7.2 RS485.c 7.3 RS485.h RS232的高电平1的逻辑为-5V~-15V&#xff0c;低电平0的逻辑为5V~15V。高电平和TTL的0~5V不兼容&#xff0c;传输的距离也不够长。 1. …

STM32开发——串口通讯(非中断+中断)

目录 1.串口简介 2.非中断接收发送字符 3.中断接收字符 1.串口简介 通过中断的方法接受串口工具发送的字符串&#xff0c;并将其发送回串口工具。 串口发送/接收函数&#xff1a; HAL_UART_Transmit(); 串口发送数据&#xff0c;使用超时管理机制HAL_UART_Receive(); 串口…

STM32 多路ADC同时扫描采样

背景 在项目实际应用中&#xff0c;刚好有需求需要使用多路ADC同时采样&#xff0c;这里就选择STM32 ADC多路ADC同时采样&#xff0c;这里简单说明下配置过程&#xff0c;以及使用步骤 原理图 如下图所示&#xff0c;使用四路ADC输入 ADC_Voltage -> 电压信号的采样&…

ARM-系统移植(开发环境搭建)

基于STM32MP157单片机 一、安装tftp服务器 首先保证ubuntu连接网络成功 1. 安装步骤 作用&#xff1a;完成ubuntu和开发板之间传输文件 1&#xff09;安装tftp服务器的安装包 sudo apt-get install tftpd-hpa tftp-hpa tftpd-hpa : 服务器端 tftp-hpa : 客户端 2&#x…

USART硬件流控制概念以及原理(硬件控制流以及软件控制流)

USART 数据流控制 也就是 USART_HardwareFlowControl 一、流控制的作用 这里讲到的 “流”&#xff0c;指的是数据流&#xff1b;在数据通信中&#xff0c;流控制是管理两个节点之间数据传输速率的过程&#xff0c;以防止出现接收端的数据缓冲区已满&#xff0c;而发送端依然…

软件I2C读写MPU6050代码

1、硬件电路 SCL引到了STM32的PB10号引脚&#xff0c;SDA引到了PB11号引脚软件I2C协议&#xff1a; 用普通GPIO口&#xff0c;手动反转电平实现协议&#xff0c;不需要STM32内部的外设资源支持&#xff0c;故端口是可以任意指定MPU605在SCL和SDA自带了两个上拉电阻&#xff0c;…

关于复旦微FM33LG0XX系列芯片串口发送需要先发送一个字节的原因

今天分享一个很有趣的现象&#xff0c;就是最近使用到我们国产品牌复旦微的一款32位单片机芯片(FM33LG0XX)&#xff0c;我发现这个芯片使用串口发送中断时候必须先发送一个字节先&#xff0c;才能把相应的发送标志位标志上来&#xff0c;跟我们以前经常用的STM32芯片好像有点不…

单片机--STM32

【1】课程回顾 【2】课程介绍 1.单片机简介 单片机是单片微型计算机的简称&#xff0c;Mcu是Microcontroller的简称&#xff0c;也就是嵌入式微控制器。采用集成电路技术将具有数据处理能力的中央处理器CPU、随机存储器RAM、只读存储器ROM、定时器/计时器、多种I/O口和中断系统…

基础篇007. 串行通信(二)--中断方式接收数据

目录 1. 实验任务 2. 硬件原理 3. 利用STM32CubeMX创建MDK工程 3.1 STM32CubeMX工程创建 3.2 配置调试方式 3.3 配置时钟电路 3.4 配置GPIO 3.5 配置串口参数 3.6 配置时钟 3.7 项目配置 4. 串行通信实验 4.1 UART串口printf&#xff0c;scanf函数串口重定向 4.2 …

家电显示屏一般用什么模块?

家用电器使用的显示屏&#xff0c;目前市面上大多使用段码屏、点阵屏、串口屏这三种模块。 段码液晶屏只能显示字符和数字&#xff0c;而点阵液晶屏不仅可以显示数字还能显示汉字以及图像&#xff0c;串口屏和点阵屏相比&#xff0c;串口屏更可以音视频播放&#xff0c;还有很多…

QT上位机串口+STM32单片机项目

第一个自己的上位机小项目&#xff0c;嘿嘿&#xff0c;还是有些成绩感的。 目录 1.先看QT上位机部分 1.首先写一个页面 2.mainwindow.cpp主要函数。 2.form.cpp主要函数 3.STM32部分 1.main函数 3.QT完整代码 1.shangwei.pro 2.form.h 3.mainwindow.h 4.form.cpp …

STM32F4_LCD液晶显示详解

目录 1. LCD简介 2. TFT_LCD简介 2.1 LCD屏显示原理 2.2 TFTLCD硬件分析 2.3 3.5寸 16位80并口驱动 2.4 NT35310驱动时序 2.5 TFTLCD驱动流程 2.6 显存指令 2.6.1 0xD3&#xff1a;读取LCD控制器的ID 2.6.2 0x36&#xff1a;控制扫描方向 2.6.3 0x2A&#xff1a;列地…

wiringPi常用函数

注释&#xff1a;PWM占空比是指PWM信号中高电平占整个周期的比例。在一个PWM周期中&#xff0c;高电平持续时间与整个周期时间的比例称为占空比。通常用百分比表示&#xff0c;例如50%的占空比表示高电平持续时间占整个周期时间的50%。占空比越大&#xff0c;输出电平平均值越高…

野火STM32电机系列(三)Cubemx配置CAN通信

CAN接口: PI9 PB9 1.配置CAN 通信参数 由于F4的 CAN外设挂载在APB1上&#xff0c;时钟配置后APB1的时钟速率为42MHz&#xff0c;目标通信速率为1000KHz&#xff0c;由公式&#xff1a; BaudRate 1/NominalBitTime NominalBitTime 1tq tBS1 tBS2 设置参数如下&#xff1a;…

STM32开发(二十)STM32F103 片内资源 —— 低功耗模式代码解析

👈《上一篇》  🏡《主目录》  👉《下一篇》 文章目录 一、基础知识点二、开发环境三、STM32CubeMX相关配置四、Vscode代码讲解睡眠模式代码实现停止模式代码实现待机模式代码实现五、结果演示一、基础知识点 STM32F103内集成很多低功耗模式,本实验针对各种低功耗模式…

rt-thread启动流程(最详细教程)

资料下载 RT-Thread Simulator 例程 操作流程 将上面的仿真例程下载并解压&#xff0c;通过MDK打开&#xff0c;编译&#xff0c;调试&#xff0c;并打开串口点击运行&#xff0c;就可以看到如下输出了&#xff1a; 添加自己的 thread&#xff1a;在main()函数中添加即可&am…

NUCLEO-F411RE RT-Thread 体验 (1) - GCC环境 RT-Thread 的移植

NUCLEO-F411RE RT-Thread 体验 (1) - GCC环境 RT-Thread 的移植 1、准备工作 a、用stm32cubemx新建一个工程。 时钟配置 st-link提供8M的mco输出&#xff0c;所以配置hse 8m&#xff0c;sysclk最高100M&#xff0c;设置如下&#xff1a; 配置LED 连接pa5&#xff0c;设…

【STM32G431RBTx】备战蓝桥杯嵌入式→决赛试题→第六届

文章目录 前言一、题目二、模块初始化三、代码实现interrupt.h:interrupt.c:main.h:main.c: 四、完成效果五、总结 前言 无 一、题目 二、模块初始化 1.LCD这里不用配置&#xff0c;直接使用提供的资源包就行 2.ADC:开启ADCsingle-ended 3.LED:开启PC8-15,PD2输出模式就行了…

ST平台用DMA传输ADC采集的数据

在 STM32F0 硬件平台上&#xff0c;使用 DMA&#xff08;Direct Memory Access&#xff09;传输 ADC&#xff08;Analog-to-Digital Converter&#xff09;采集的数据是一个高效的方法。下面是一些步骤和注意事项&#xff0c;帮助你实现这个功能&#xff1a; 配置 ADC&#xff…

Cortext-M3系列:调试系统架构(8)

1、调试特性概述 单片机的调试功能在程序开发中有着十分重要的地位&#xff0c;好的调试工具&#xff0c;能让程序开发大大加快。笔者在刚开始学单片机相关知识时&#xff0c;使用的是pintf打印相关参数&#xff0c;进行调试&#xff08;虽然现在很多时候也这样&#xff09;&am…

STM32速成笔记—按键检测

如果需要本文程序工程&#xff0c;请评论区留邮箱或者私信。 文章目录 一、按键检测原理二、硬件连接三、程序设计3.1 初始化GPIO3.2 按键扫描函数 四、按键控制LED4.1 初始化LED和KEY的GPIO4.2 编写按键扫描函数4.2 编写LED控制函数4.3 编写按键服务函数 五、拓展5.1 一个按键…

【正点原子STM32连载】 第十一章 STM32时钟配置 摘自【正点原子】STM32F103 战舰开发指南V1.2

1&#xff09;实验平台&#xff1a;正点原子stm32f103战舰开发板V4 2&#xff09;平台购买地址&#xff1a;https://detail.tmall.com/item.htm?id609294757420 3&#xff09;全套实验源码手册视频下载地址&#xff1a; http://www.openedv.com/thread-340252-1-1.html 第十一…

STM32F407+LWIP+DP83848以太网驱动移植

最近有个项目上需要用到网络功能&#xff0c;于是开始移植网络相关代码。在移植的过程中感觉好难&#xff0c;网上找各种资料都没有和自己项目符合的&#xff0c;移植废了废了好的大劲。不过现在回头看看&#xff0c;其实移植很简单&#xff0c;主要是当时刚开始接触网络&#…

ARM Cortex-M的栈结构及回溯

1. 概述 最近在研究ARM Cortex-M系列的单片机的栈结构及栈回溯。研究这个有什么用呢&#xff1f;有以下几个方面&#xff1a; 深入了解处理器指令、程序运行的原理等知识&#xff0c;对程序设计技能的提高有一定的帮助。当你的程序出现问题了&#xff0c;可以根据栈数据找到出…

步进电机与伺服电机基础知识

步进电机与伺服电机基础知识 最近做三轴运动控制器&#xff0c;grbl方案&#xff0c;留记录。 注&#xff1a;本文以两相电机为例。步进电机和伺服电机如果都用驱动器驱动的话&#xff0c;使用方式一样&#xff0c;所以本文以步进电机讲解。 步进电机是一种与专门用于速度和位…

STM32单片机(一)STM32简介

❤️ 专栏简介&#xff1a;本专栏记录了从零学习单片机的过程&#xff0c;其中包括51单片机和STM32单片机两部分&#xff1b;建议先学习51单片机&#xff0c;其是STM32等高级单片机的基础&#xff1b;这样再学习STM32时才能融会贯通。 ☀️ 专栏适用人群 &#xff1a;适用于想要…

FreeRTOS中断配置和临界值

Cortx-M 中断 优先级分组 Cortex-M3允许具有较少中断源时使用较少的寄存器位指定中断源的优先级&#xff0c;因此STM32把指定中断优先级的寄存器位减少到4位。抢占优先级的级别高于响应优先级。而数值越小所代表的优先级就越高。高的抢占式优先级可以打断低的抢占式优先级&am…

STM32寄存器映射

1. 寄存器基本原理 寄存器是单片机内部一种特殊的内存&#xff0c;可以实现对单片机各个功能的控制&#xff0c;我们编写程序最终就是去控制寄存器 下面的举例平台为STM32F407ZG 1.1 STM32寄存器分类 大类小类说明 内核寄存器 内核相关寄存器 包含R0~R15、xPSR、特殊功能寄…

STM32cubemx配置驱动DHT11模块

文章目录 前言一、DHT11模块介绍二、DHT11引脚连接及cubemx配置三、DHT11时序分析四、DHT11代码编写总结 前言 本篇文章将带大家学习使用DHT11湿温度模块&#xff0c;使用这个模块可以获取当前的温度和湿度数据。DHT11可以用于智能家居&#xff0c;蔬菜大棚等项目当中适用范围…

stm32正点原子学习笔记(7-9)

JLINK调试下载代码&#xff0c;没买不需要 还要安装F1的包才行 编译这个程序试试 编译完没错误和警告即可。 怎么查看包&#xff1f; 不管几个串口&#xff0c;下载都是通过串口1(PA9、PA10)。 串口电路有两种&#xff0c;CH340和PL2303&#xff0c;考虑到稳定性&#xff0c;这…

STM32F4_DS18B20红外温度传感器

目录 前言 1. 单总线时序结构 2. DS18B20结构 2.1 DS18B20操作流程 2.2 DS18B20数据帧 3. 温度存储格式 4. 硬件分析 5. 实验程序详解 5.1 main.c 5.2 DS18B20.c 5.3 DS18B20.h 前言 STM32F4内部集成了温度传感器。在之前的学习中&#xff0c;我们已经学习了使用AD进…

STM32 Proteus仿真DHT11温度湿度光敏光强DS1302闹钟-0044

STM32 Proteus仿真DHT11温度湿度光敏光强DS1302闹钟-0044 Proteus仿真小实验&#xff1a; STM32 Proteus仿真DHT11温度湿度光敏光强DS1302闹钟-0044 功能&#xff1a; 硬件组成&#xff1a; STM32F103C6T6单片机 DHT11温度湿度光敏电阻采集光强 多个按键模拟红外遥控1个LED…

STM32 | 基于STM32实现的普通灯泡调光控制器

1024G 嵌入式资源大放送&#xff01;包括但不限于C/C、单片机、Linux等。关注微信公众号【嵌入式大杂烩】&#xff0c;回复1024&#xff0c;即可免费获取&#xff01; 最近没有什么产出&#xff0c;吓得ZhengN翻箱倒柜倒出一些小东西来发。下面分享一个ZhengN大二时候的一个小玩…

ATK-MD0096-V21使用手册

ATK-0.96’ OLED_V2.0(V2.0 是版本号&#xff0c;下面均以 OLED 表示该产品)是 ALIENTEK 推出的一款高性能 OLED 显示模块&#xff0c;&#xff0c;尺寸小巧&#xff08;27mm26mm&#xff09;&#xff0c;结构紧凑&#xff0c;模块通过一个28P的排针与外部连接。 8080并口模式…

串口通讯,三种数据传输方式介绍

前言 &#xff08;1&#xff09;备考微机原理&#xff0c;这里就把这个知识点分享给和我一样不认真上课的同学们。 &#xff08;2&#xff09;虽然在我的STM32串口通讯的教程里面已经有描述这部分的内容了。但是担心有些人只是想单纯的了解这个知识点&#xff0c;所以我就又单独…

F407之位带操作

位带操作的应用场景&#xff0c;通常在于对IO口进行输入输出读取和控制。 这就和51单片机中直接的端口赋值是一样的。 比如 P0.0 0; P0.0 1; 直接就是对端口P0.0输出0和1。 如何实现呢&#xff1f; 什么是位带操作 位带操作简单的说&#xff0c;就是把每个比特膨胀为一个32位…

MM32F3273G8P火龙果开发板MindSDK开发教程20 - freertos + letter shell 的移植

MM32F3273G8P火龙果开发板MindSDK开发教程20 - freertos letter shell 的移植 1、freertos下载 官网传送门 2、freertos移植 1、在工程目录device下新建freertos目录&#xff0c;将下载的源码source目录下的七个.c文件copy到新建的freertos目录。 2、将source/protable/G…

STM32开发——看门狗

目录 1.独立看门狗 1.1需求 1.2CubeMX设置 1.3函数代码 2.窗口看门狗 2.1需求 ​2.2WWDG配置&#xff1a; 2.3函数代码 3.独立看门狗和窗口看门狗的异同点 1.独立看门狗 监测单片机程序运行状态的模块或者芯片&#xff0c;俗称“看门狗”(watchdog) 。 独立看门狗本质 本…

【正点原子STM32连载】 第四十二章 DS18B20数字温度传感器实验 摘自【正点原子】STM32F103 战舰开发指南V1.2

1&#xff09;实验平台&#xff1a;正点原子stm32f103战舰开发板V4 2&#xff09;平台购买地址&#xff1a;https://detail.tmall.com/item.htm?id609294757420 3&#xff09;全套实验源码手册视频下载地址&#xff1a; http://www.openedv.com/thread-340252-1-1.html# 第四…

STM32_基础入门(十一)_定时器基本原理

持续关注阿杰在线更新保姆式笔记~~坚持日更 目录 一、STM32定时器 二、通用定时器概述 一、STM32定时器 STM32F10x系列总共最多有8个定时器&#xff1a; 三种STM32定时器区别 基本定时器的功能最少&#xff0c;只能充当基本的时基&#xff0c;甚至都没有外部引脚。通用定时…

单片机的UART升级固件流程

文章目录 单片机的烧录方式ISP In-Systen Programming 系统编程ICP In-Circuit Programming 电路编程IAP In-Application Programming 应用编程BootLoader 引导程序 串口下载的重难点启动流程基于IAP设计的STM32程序启动流程 随着技术的发展&#xff0c;单片机的功能和性能得到…

定时器详解 -- 定时器中断、PWM输出 --stm32

STM32定时器使用 STM32定时器计数模式定时器工作原理基本定时器&#xff1a;TIM6、TIM7示例代码代码讲解 通用定时器&#xff1a;TIM2、TIM3、TIM4、TIM5高级定时器&#xff1a;TIM1、TIM8定时器PWM输出原理通用定时器3控制通道1输出PWM脉冲代码 总结参考资料 STM32定时器 STM…

STM32与ESP32下载器设计

文章目录 背景STM32下载器使用现成的DAPlink选择自制DAPlink ESP32/ESP8266下载器连接接口STM32接口ESP32接口 背景 我们常用的单片机主要有STM32和ESP32&#xff0c;其中STM32下载要求SWD下载接口&#xff0c;ESP32下载要求串口&#xff0c;但需要控制ESP32 IO0和EN口高低电平…

STM32单片机(三)第一节:GPIO输出

❤️ 专栏简介&#xff1a;本专栏记录了从零学习单片机的过程&#xff0c;其中包括51单片机和STM32单片机两部分&#xff1b;建议先学习51单片机&#xff0c;其是STM32等高级单片机的基础&#xff1b;这样再学习STM32时才能融会贯通。 ☀️ 专栏适用人群 &#xff1a;适用于想要…

STM32F407的PWM

文章目录 32的PWM资源PWM输出原理捕获/比较模式寄存器&#xff08;TIMx_CCMR1/2&#xff09;捕获/比较使能寄存器&#xff08;TIMx_CCER&#xff09;捕获/比较寄存器&#xff08;TIMx_CCR1~4&#xff09; 库函数版本的F407的PWM波输出开启 TIM14 时钟以及复用功能初始化 TIM14,…

如果STM32/GD32一类的ARM单片机解除读写保护的方法

文章目录 前言一、打开软件二、连接到目标芯片1.连接2.若目标芯片无写包含3. 若存在读写保护 三、解除读写保护的操作&#xff1a;1. 打开Options Bytes对话框2. 操作步骤 四、工具下载链接 前言 有时候啊&#xff0c;使用ST-Link给STM32一类的ARM单片机下载程序的时候&#x…

STM32F4_通过RS232实现和PC端通讯

目录 1. RS232通讯 2. 实验程序 2.1 main.c 2.2 RS232.c 2.3 RS232.h 通过上一节的学习&#xff0c;已经基本了解了RS232的通讯过程&#xff0c;实际上&#xff0c;不管是RS485还是RS232都是基于串口的一种通讯方式&#xff01; STM32F4_RS485、RS232_light_2025的博客-CS…

STM32F1定时器(TIM1~TIM8)

一、stm32f1定时器简介 1.1、定时器分类 STM32共11个定时器&#xff0c;2个高级控制定时器TIM1和TIM8&#xff0c;4个通用定时器TIM2~TIM5&#xff0c;两个基本定时器TIM6和TIM7&#xff0c;两个看门狗定时器和一个系统滴答定时器Systick. 高级定时器TIM1和TIM8的时钟由APB1产…

ARM 嵌入式系统复习笔记

ARM 嵌入式系统复习笔记 ARM Review填空嵌入式系统概念填空工作频率填空时钟填空存储器填空GPIO填空中断填空ADC填空操作系统填空 简答嵌入式系统概念简答从技术角度来说什么是嵌入式系统&#xff1f;8051单片机应用系统是否属于嵌入式系统&#xff1f;嵌入式实时操作系统中的“…

基于STM32的IIC驱动协议实现

目录 1.简介 2.特点 3.协议细节 1.简介 IIC(Inter&#xff0d;Integrated Circuit)总线是一种由 PHILIPS 公司开发的两线式串行线&#xff0c;用于连接微控制器及其外围设备。它是由数据线 SDA 和时钟 SCL 构成的串行总线&#xff0c;可发送和接收数据。在 CPU 与被控 IC 之…

FreeRTOS_列表和列表项

目录 1. 什么是列表和列表项&#xff1f; 1.1 列表 1.2 列表项 1.3 迷你列表项 2. 列表和列表项初始化 2.1 列表初始化 2.2 列表项初始化 3. 列表项插入 3.1 列表项插入函数分析 3.2 列表项插入过程图示 3.2.1 插入值为 40 的列表项 3.2.2 插入值为 60 的列表项 3…

POWERLINK协议在stm32单片机+w5500移植成功经验分享

连续折腾了多个晚上&#xff0c;又趁周末又花了一天时间&#xff0c;终于把powerlink协议移植成功到单片机上啦。本想放弃&#xff0c;但想了下不管我能不能用上&#xff0c;结个尾吧&#xff0c;分享给有需要的人。放弃并不难&#xff0c;但坚持一定很酷。为了移植测试这个协议…

MobaXterm 的安装-迅为STM32MP157开发板

MobaXterm 是远端电脑的终极工具箱&#xff0c;作为一个单窗口程序&#xff0c;为程序员&#xff0c;网站管理员&#xff0c;IT 管理员以及更多需要处理远端任务的用户提供了很多实用的功能。MobaXterm 为 windows 桌面提供了所有重要的远端网络工具(SSH, X11, RDP, VNC, FTP, …

STM32开发——DMA(数据搬运)

目录 1.DMA简介 2.从内存到内存搬运 2.1CubeMX设置 2.2函数代码 3.内存到外设 3.1CubeMX配置 3.2 函数代码 4.外设到内存 4.1CubeMX配置 4.1函数代码 1.DMA简介 DMA(Direct Memory Access&#xff0c;直接存储器访问) 提供在外设与内存、存储器和存储器、外设 与外设…

学习AD的一些记录

1.首先创建一个工程&#xff0c;然后在工程里面创建原理图&#xff0c;PCB&#xff0c;原理图库和PCB库。 并保存。 2.将外部的原理图库&#xff0c;pcb库复制到自己创建的原理图库和pcb库。放到这两个里面。 复制&#xff0c;并粘贴到自己创建的原理图库中。PCB库同理 3.然后…

STM32-关于DAC的笔记

数模转换器&#xff0c;又称D/A转换器&#xff0c;简称DAC&#xff0c;它是把数字量转变成模拟的器件。D/A转换器基本上由4个部分组成&#xff0c;即权电阻网络、运算放大器、基准电源和模拟开关。模数转换器中一般都要用到数模转换器&#xff0c;模数转换器即A/D转换器&#x…

stm32wb15cc蓝牙芯片学习

由于项目选型需要&#xff0c;初次接触stm32的蓝牙芯片&#xff0c;需要总体做一些学习。也记些笔记&#xff0c;防止遗忘。 一、主要的ST的蓝牙芯片 简单介绍一下主要ST的蓝牙芯片 1.1. STM32WB系列 这个系列的芯片是一个双核的MCU&#xff0c;相当于一个普通的STM32 MCU和…

61、基于51单片机无线蓝牙音乐喷泉控制系统设计(程序+原理图+PCB源文件+参考论文+参考PPT+元器件清单等)

方案选择 单片机的选择 方案一&#xff1a;AT89C52是美国ATMEL公司生产的低电压&#xff0c;高性能CMOS型8位单片机&#xff0c;器件采用ATMEL公司的高密度、非易失性存储技术生产&#xff0c;兼容标准MCS-51指令系统&#xff0c;片内置通用8位中央处理器(CPU)和Flash存储单元…

STM32预装载寄存器与影子寄存器

捕获/比较模块由一个预装载寄存器和一个影子寄存器组成。读写过程仅操作预装载寄存器。在捕获模式下&#xff0c;捕获发生在影子寄存器上&#xff0c;然后再复制到预装载寄存器中。在比较模式下&#xff0c;预装载寄存器的内容被复制到影子寄存器中&#xff0c;然后影子寄存器的…

开漏输出与推挽

1.推挽输出:可以输出高,低电平,连接数字器件。 输出 0 时&#xff0c;N-MOS 导通&#xff0c;P-MOS 高阻&#xff0c;输出0。 输出 1 时&#xff0c;N-MOS 高阻&#xff0c;P-MOS 导通&#xff0c;输出1&#xff08;不需要外部上拉电路&#xff09;。 开漏输出:输出端相当…

Keil修改Stm32的工程名字

版权声明&#xff1a;本文为博主原创文章&#xff0c;遵循 CC 4.0 BY-SA 版权协议&#xff0c;转载请附上原文出处链接和本声明。 本文链接&#xff1a;https://blog.csdn.net/qq_33431368/article/details/73771801 首先 选中工程中的 如图

STM32命名规则

以STM32F103VET6为例 STM F 103 V E T 6 1 2 3 4 5 6 7 1&#xff1a;产品系列名&#xff1b;固定为STM32 2&#xff1a;产品类型&#xff1b;F表示这是Flash产品 3&#xff1a;产品子系列&#xff1b;其中主要包括以下几个系列 101 基本型 103 增强型 10…

STM32开发——总结

1.CubMX为什么方便 其可以通过图形化方式定义引脚功能&#xff0c;生成部分代码&#xff0c;给与开发人员提示 重配置后&#xff0c;本身写好的代码也会保留。 2.CubeMX为什么要定义引脚功能 32中引脚都有很多功能&#xff0c; 如图&#xff0c;PA0和PA15都可以做为TIM2_CH1…

STM32外设系列—红外遥控

文章目录 一、红外遥控简介二、红外遥控的原理三、二进制脉冲编码3.1 NEC码的位定义3.2 NEC遥控指令的数据格式 四、红外遥控程序设计思路五、红外遥控程序设计5.1 红外遥控初始化程序5.2 记录高电平持续时间函数5.3 中断服务函数5.4 读取键值5.5 参数定义 六、应用实例 一、红…

ESP32_经典蓝牙使用

ESP32_经典蓝牙使用 一、经典蓝牙1、示例代码2、经典蓝牙相关知识一、经典蓝牙 1、示例代码 先来个示例代码 配置成功后会提示BT initial ok and ready to pair.表示蓝牙已经初始化完成,等待连接 这个时候我们可以用手机的蓝牙调试软件,连接,并且进行数据的收发测试 蓝牙调…

一文读懂LM393 就是个比较器

1电压大于2&#xff0c;输出高电平 1小于2&#xff0c;输出低电平。 没了&#xff0c;就这么简单。电压比较器 两路&#xff0c;意思是可以同时比较两个。省钱省地方 下边是具体的

关于stm32抢占优先级和子优先级中难以理解的地方

他说的是4位&#xff0c;我一开始理解的是0123四个数。这样一看就理解了。3位就是可以2的三次方&#xff0c;也就是8。而响应优先级一位&#xff0c;就是2的一次方。2*816&#xff0c;所以就是16。 这个寄存器好像在corem3内核里边&#xff0c;她外边没咋写。所以不用管了&…

PID单环控制(位置环)

今天我们来聊一聊pid如何控制轮子转动位置 前期准备调试过程 前期准备 需要准备的几个条件&#xff1a; 1.获取实时编码器的计数值 2.写好pid控制算法的函数 3.设定好时间多久执行一次pid计算&#xff0c;并设置限幅输出。 4.多久执行一次pid输出 接下来我们看看这几个部分的…

STM32 RGB屏幕

使用ST的HAL库进行开发&#xff0c;RGB屏幕是480*272的4.3寸LCD&#xff0c;由于驱动RGB屏幕需要较多的内存&#xff0c; 所以使用了外部SDRAM&#xff0c;内存是32M字节&#xff0c;关于SDRAM的驱动本文不进行讨论。 RGB屏幕常用的像素格式有&#xff1a;ARGB8888、RGB888、…

modbus rtu协议 认知篇

目录1、简介2、OSI7层模型3、MODBUS帧格式4、功能码01&#xff1a;读线圈&#xff08;继电器状态&#xff09;请求ADU返回ADU例子&#xff1a;请求离散量输出20~3802&#xff1a;读输入离散量&#xff08;输入开关量&#xff09;请求ADU返回ADU03&#xff1a;读保持寄存器请求A…

keil如何在线调试看外设寄存器的值

一&#xff0c;简介 本文以GPIO为例&#xff0c;主要介绍如何使用keil的在线调试功能&#xff0c;来查看GPIO的寄存器配置。 二&#xff0c;操作步骤 2.1 keil工程设置 选择生成debug信息 2.2 在线调试 点击“Debug”按钮。 选择要查看的外设地址&#xff0c;添加到wat…

GPIO模拟时序控制外设4——红外发射管

文章目录 前言红外发射管简介NEC协议HS0038NEC 的逻辑“1”与逻辑“0”NEC的数据帧格式 编程思路1. GPIO管脚2. 模拟同步头3.发送逻辑“0”与逻辑“1”发送一个字节数据发送一帧数据结束码现象 总结 前言 上一篇介绍了使用GPIO模拟时序实现I2C协议的功能&#xff0c;本文继续使…

STM32 工作流程

工作流程&#xff1a; 上电后&#xff0c;芯片复位启动MCU通过指令/数据总线从FLASH中读取指令或数据&#xff0c;配合解析执行&#xff0c;然后再通过RAM和通用寄存器&#xff08;R0~R12的内部寄存器&#xff09;处理可变数据根据执行的指令&#xff0c;配置和操作外设的寄存…

【STM32】F103 时钟树

STM32F103是一款基于ARM Cortex-M3内核的32位微控制器&#xff0c;它具有丰富的外设资源和灵活的时钟配置。本文将从以下几个方面介绍STM32F103的时钟树&#xff1a; 时钟树的概念和作用时钟树的组成和分类时钟树的配置方法和步骤时钟树的应用实例 一、时钟树的概念和作用二、时…

PWM详解(嵌入式学习)

这里写目录标题 前言定义参数工作原理应用练习 前言 在STM32微控制器中&#xff0c;PWM代表脉冲宽度调制&#xff08;Pulse Width Modulation&#xff09;。PWM是一种用于控制电子设备的技术&#xff0c;通过调整信号的脉冲宽度和周期&#xff0c;可以模拟出不同的电压或功率级…

十四.EtherCAT开发之ST MCU STM32F407ZGt6+ AX58100的开发FOE应用

十四.EtherCAT开发之ST MCU STM32F407ZGt6 AX58100的开发FOE应用 STM32F407ZGt6与AX58100是 SPI连接&#xff0c;工作在SPI模式。 FoE(File Access over EtherCAT)可实现EtherCAT节点之间的文件传输。 14.1 SSC TOOL配置 boot mode与FOE支持 FOE 说是要在状态机的boot mod…

5。STM32裸机开发(6)

嵌入式软件开发学习过程记录&#xff0c;本部分结合本人的学习经验撰写&#xff0c;系统描述各类基础例程的程序撰写逻辑。构建裸机开发的思维&#xff0c;为RTOS做铺垫&#xff08;本部分基于库函数版实现&#xff09;&#xff0c;如有不足之处&#xff0c;敬请批评指正。 &…

Keil(MDK-ARM)如何补充安装旧的编译器 AC5(ARM Compiler 5)

目录 一、前言二、下载1. 进入 Arm Developer 官网2. 下载 ARM Compiler 5 安装包3. 下载完成 三、安装1. 开始安装2. 安装过程3. 安装完成 四、配置1. 打开“Manage Project Items”2. 添加 ARM Compiler 5 编译器3. 添加成功4. 选择 ARM Compiler 5 作为当前使用的编译器 一、…

使用GPIO来模拟UART

前言 最近在看一些秋招的笔试和面试题&#xff0c;刚好看到一个老哥的经验贴&#xff0c;他面试的时候被问到了如果芯片串口资源不够了该怎么办&#xff1f;其实可以用IO口来模拟串口&#xff0c;但我之前也没有具体用代码实现过&#xff0c;借此机会用32开发板上的两个IO口来…

STM32F4_MPU6050六轴传感器详解

目录 前言 1. 姿态检测的基本概念 2. 传感器的基本概念 2.1 传感器原理 2.2 传感器参数 2.3 物理量的表示方法 3. MPU6050简介 4. 利用STM32F4读取MPU6050的姿态步骤 5. 硬件设计 6. 实验程序 6.1 ANO_Tech 匿名四轴上位机_V2.6.exe 6.2 main.c 6.3 MyMPU6050.c …

一款基于RT-Thread操作系统的自动测温+风扇自动调速+加湿+自动启停的智能风扇项目(附源码下载)

基于 RT-Thread 的智能加湿风扇 作品背景 一款基于 RT-Thread 操作系统的自动检测温湿度情况进行风扇转速自动调节&#xff0c;加湿自动启停的桌面风扇。 所用硬件&#xff1a; 主控&#xff1a;CH32V307 开发板。温湿度检测&#xff1a;AHT10 温湿度模块。风扇主体&#x…

STM32 | MCU错误代码自动追踪库的使用经验分享

1024G 嵌入式资源大放送&#xff01;包括但不限于C/C、单片机、Linux等。关注微信公众号【嵌入式大杂烩】&#xff0c;回复1024&#xff0c;即可免费获取&#xff01; 大家在用STM32的时候有没有遇到过HardFault的问题呢&#xff1a; 下面针对这个问题做个小总结。 现象还原&a…

RT-Thread | PIN设备中断实验

1024G 嵌入式资源大放送&#xff01;包括但不限于C/C、单片机、Linux等。关注微信公众号【嵌入式大杂烩】&#xff0c;回复1024&#xff0c;即可免费获取&#xff01; 通用I/O的特性 通用I/O口主要特性如下&#xff1a; 1、输入输出模式可控制。 &#xff08;1&#xff09;输出…

STM32之周期中断设计(程序)

详细代码如下&#xff1a; my_pit.c #include "my_pit.h"//------------------------------------------------------------------------------------------------------------------- // brief 定时器周期中断 // param timern 定时器通道 // p…

基于STM32+4G模块设计的智能灌溉系统

一、前言 农业灌溉会直接影响作物的生长,合理的灌溉能够提升作物的质量和产量,更有助于水资源的持续利用。过度的灌溉不仅降低水资源利用率,还可能造成作物养分流失、病虫害、内涝等众多问题。因此随着农业智能化发展,我国农业需要提升农业灌溉合理性,因此为智能灌溉行业…

单片机破 解和防 护建议

单片机程序基本上都存在于Flash中&#xff0c;大部分能够读取或者识别Flash上的数据就能够获得Firmware文件&#xff0c;从而给复制产品带来了机会。单片机攻击者借助专用设备或者自制设备&#xff0c;利用单片机芯片设计上的漏洞或软件缺陷&#xff0c;通过多种技术手段&#…

编码电机PID调试(速度环|位置环|跟随)

文章目录1、编码电机认识2、上位机波形显示1、功能介绍2、协议说明3、速度环调试验证4、位置环调试验证5、实现跟随效果前面的文章中有讲过编码电机串级PID相关的知识&#xff0c;以及一些PID的调试经验&#xff0c;这里我最近正好又把电机摸了一遍&#xff0c;同时将波形的工具…

stm32外设笔记-freertos配置(三)

文章目录1、任务通知2、消息队列打印串口数据3、打印任务执行情况1、打印任务占用的内存2、打印任务对cpu的利用率前面的提到了关于FreeRtos的任务的挂起与恢复&#xff0c;消息队列&#xff0c;软件定时器等内容&#xff0c;今天就继续介绍其他几个参数吧&#xff01; 1、任务…

基于STM32的虚拟示波器

仓库地址 https://github.com/shuai132/ScopeMCU ScopeMCU Oscilloscope for MCU MCU: STM32F103C8Tx 需配合ScopeGUI使用 截图说明见wiki 最新版Releases Introduction 用最少的硬件成本&#xff0c;做一个实用的虚拟示波器。 这是硬件部分&#xff0c;基于STM32最小…

智能小车使用IIC屏幕做动作显示界面

一、简介 使用0.96寸IIC屏幕作为遥控动作的显示界面。 外设引脚 stm32f103c8t6单片机IIC引脚有两组 使用I2C1&#xff0c;对应的时钟与数据线分别为PB6、PB7。 IIC屏幕指令 // OLED_WR_Byte(0xAE,OLED_CMD);//--turn off oled panel // OLED_WR_Byte(0x00,OLED_CMD);//---se…

stm32外设笔记-单总线设备(DS18B20|DHT11)

文章目录1、DS18B20驱动2、DS18B20驱动实战3、多个DS18B20一起驱动3、DHT11驱动4、DHT11驱动实战1、DS18B20驱动 一般常见的DS18B20如下所示&#xff0c;当然也有那种金属头防水的&#xff0c;本质上用的芯片还是这个&#xff0c;这里我们不对他进行单独介绍。 根据官方的数据…

STM32单片机(七)ADC模拟数字转换器----第二节:ADC模数转换器练习1(AD单通道)

❤️ 专栏简介&#xff1a;本专栏记录了从零学习单片机的过程&#xff0c;其中包括51单片机和STM32单片机两部分&#xff1b;建议先学习51单片机&#xff0c;其是STM32等高级单片机的基础&#xff1b;这样再学习STM32时才能融会贯通。 ☀️ 专栏适用人群 &#xff1a;适用于想要…

stm32配置总结-iic的使用

文章目录1、iic及时序2、使用io口模拟产生iic3、使用iic来控制oled显示4、使用逻辑分析仪查看iic效果5、扩展oled显示6、使用自己写的oled驱动移植一些中景园的例程7、改用硬件iic来去驱动oled8、模拟iic驱动at24c20芯片读写本文用到的实验平台&#xff1a; 野火MINI-stm32开发…

Systick定时器使用以及一些问题记录

文章目录1、配置嘀嗒定时器2、hal库中的延时函数3、使用SysTick来实现us延时4、测试延时效果5、cubemx版本问题不同中断优先级变化7、中断优先级的问题8、嘀嗒定时器的执行系统嘀嗒定时器是存在于所有m3内核中的一个外设&#xff0c;但是m3内核的其他定时器数量却不一&#xff…

ESP8266解析S-BUS协议

Talk is cheap, show you code! 编译平台&#xff1a;Arduino IDE /*** 功能&#xff1a;软件串口读取S-BUS数据&#xff1b;解析&#xff1b;串口打印*/ #include <SoftwareSerial.h> #define None 5//D1(空&#xff09; #define DataPort 14//D5uint8_t Data_packet[…

STM32 实现简单定时任务调度器,动态创建任务,两种思路实现跑马灯

代码实现和硬件没关系&#xff0c;所以并不限于STM32&#xff0c;Arduino 之类的其他地方也能用&#xff0c;只要有一个能获取时间的函数就行&#xff0c;或者说&#xff0c;只要有一个会随着时间自动增加的变量就行&#xff0c;时间单位无所谓&#xff0c;所以确实想的话&…

ArduPilot之H743遗留配置问题解决

ArduPilot之H743遗留配置问题解决 1. 源由2. 资源3 遗留问题汇总3.1 问题一&#xff1a;无法设置VTX 600m3.2 问题二&#xff1a;双向Dshot未显示RMP转速3.3 问题三&#xff1a;mavlink esp32 2.4G WiFi电传 4. 视频5. 参考资料 1. 源由 在ArduPilot开源代码之H743BMI270x2Chi…

【FreeRTOS】Arduino开发STM32

0.开发环境(工具) Vscode 、platformio、arduino、STM32F103C8T6、USB-TTL 引脚连接&#xff1a;STM32直接设置为烧录模式即可&#xff0c;烧录完成后会自动运行程序。 1.点灯加串口输出调试 注意事项&#xff1a;每次上传程序前&#xff0c;需要按一下复位键。 #include &…

STM32NET学习笔记 ARP和Ethernet部分

1.前言 &#xff08;2013年初整理笔记&#xff0c;2013底发布至CSDN博客中&#xff09; 嵌入式以太网开发是一个很有挑战性的工作。通过几个月的学习&#xff0c;个人觉得大致有两条途径。第一条途径&#xff0c;通过高级语言熟悉socket编程&#xff0c;例如C#或C&#xff0c…

STM32学习过程记录11——基于STM32G431CBU6硬件SPI+DMA的高效WS2812B控制方法

一种高效的WS2812B控制算法——基于STM32G431CBU6的SPIDMA 1.WS2812B介绍 ws2812b是一款集控制电路与发光电路于一体的智能外控LED光源&#xff0c;采用单线归0码协议&#xff0c;每个像素点的三基色颜色可实现256级亮度显示。速率能达到1024pixel 30fps / s&#xff0c;故被…

STM32+ov7725+ESP8266实现无线图传-完成上位机图像显示

一、需求 stm32f407探索者开发板和STM32F103ZET6战舰开发板。接正点原子ov5640、OV7725、OV2640摄像头,通过esp8266Wi-Fi模块(透传模式)将摄像头采集到的rgb565格式图片通过tcp/ip协议上传到上位机显示。 二、设计思路 【1】使用QT开发上位机,建立TCP服务器,接收ESP8266…

STM32F4_常用存储器简介

目录 1. 存储器的种类 单片机和电脑一样&#xff0c;其内核分别为ARM和CPU(CPU通常是不具备存储功能的)&#xff0c;内核是用来进行数据的运算和处理的。内核处理数据的来源就是存储器。 1. 存储器的种类 RAM存储器&#xff1a; RAM是 “Random Access Memory”的缩写&#x…

STM32——关于NVIC讲解及标准库应用(基础篇)

简介&#xff1a; NVIC是指STM32中的中断控制器&#xff08;Nested Vectored Interrupt Controller&#xff09;。中断是STM32中的重要机制&#xff0c;通过中断可以实现异步事件处理。NVIC提供了灵活、高效、可扩展的中断处理机制&#xff0c;支持多级优先级、多向中断、嵌套向…

电源工程师必备技能汇总

一、掌握常用拓扑结构 1.反激 2.全桥/半桥 3.倍流 4.PFC电路 5.Flyback 6.LLC 7.Buck 8.维也纳整流 9.光伏逆变器 10.并网逆变器 11.两电平整流器 12.三电平整流器 二、掌握三款电力仿真软件--对于上述拓扑结构的仿真控制 1.PSIM 2.MATLAB 3.Plecs 三、熟练运用…

STM32软件定时器

目录 什么是定时器&#xff1f; 软件定时器优缺点 软件定时器原理 软件定时器相关配置 单次定时器和周期定时器 软件定时器相关 API 函数 1. 创建软件定时器 2. 开启软件定时器 3. 停止软件定时器 4. 复位软件定时器 5. 更改软件定时器定时时间 实操 cubeMX配置 …

单片机--STM中断系统

目录 【1】中断系统 1.基本概念 2.中断的意义 中断提高了CPU效率&#xff1f; 3.中断处理过程 中断处理过程 4. 中断体系结构 5.NVIC NVIC主要功能 6.EXTI 【2】IIC IIC主要特点 【3】485 【1】中断系统 1.基本概念 在处理器中&#xff0c;中断相当于对于突发事件…

鼠标键盘实验

文章目录 USB参考资料USB设备STM32F407USB 硬件连接软件移植官方HIDSTM32F4USB通信库 USB参考资料 ①《STM32F4xx中文参考手册》-第30章 全速USB on-the-go(OTG_FS) ②光盘&#xff1a;STM32参考资料:STM32 USB 学习资料-CD00289278.pdf(UM1021) ③光盘&#xff1a;STM32参考资…

STM32单片机LED显示屏驱动原理与实现

STM32单片机驱动LED显示屏的原理与实现方法与Arduino类似&#xff0c;但涉及到的具体硬件资源和库函数可能会有所不同。下面是一个详细的介绍&#xff1a; 原理&#xff1a; STM32单片机驱动LED显示屏的原理是通过控制GPIO引脚的电平状态来控制LED的亮灭。通过设置引脚的输出电…

RT-Thread | 临界区问题及IPC机制

1024G 嵌入式资源大放送&#xff01;包括但不限于C/C、单片机、Linux等。关注微信公众号【嵌入式大杂烩】&#xff0c;回复1024&#xff0c;即可免费获取&#xff01; 什么是临界区&#xff1f; 在多线程实时系统中&#xff0c;多个线程操作/访问同一块区域&#xff08;代码&am…

【杂谈理解】STM32F10X标准库工程模板

前言 基于STM官网的STM32F10x标准外设库V3.6.0版本&#xff0c;文件的操作流程是参考江科大的。记录下此文方便学习和回忆。文章后也会放置完整的工程文件和意法官网下载STM32F10x标准外设库的压缩包。 流程 到意法官网下载STM32F10x标准外设库的压缩包。先找到压缩包的地址&a…

【硬件】嵌入式电子设计基础之单片机

本篇文章介绍了基于单片机平台开发的一些基本理论知识&#xff0c;包括了硬件最小系统的说明以及基于单片机开发的过程。文中还额外介绍了ARM体系架构&#xff0c;帮助读者从更深层次去熟悉我们平常最容易接触的开发平台&#xff0c;熟悉这些寄存器定义和处理器模式能够帮助我们…

STM32F103C8T6开发环境的搭建

本篇为《嵌入式相关》系列博客的第一篇&#xff0c;该系列博客主要记录单片机开发及硬件设计的相关过程&#xff0c;方便以后查阅。 STM32F103C8T6开发环境的搭建前言编程软件Keil的安装STM32芯片支持包正常使用软件查看安装结果前言 最近开始自己大创项目的制作工作&#xff…

vscode+stm32

Win10配置 STM32/GD32 单片机 GCCVScode 编译调试总结 2021版 教你在vscode下用stm32makefilegcc实现一键编译仿真下载 小熊派带你初探最近叱咤风云的ThreadX全家桶 用VS Code开发STM32&#xff08;三&#xff09;——调试 如何使用Visual Studio CodeSTM32Cube MX优雅的编写S…

startup_stm32f407xx.c

startup_stm32f407xx.c 会调用该函数的SystemInit /** addtogroup STM32F1xx_System_Private_Functions* {*//*** brief Setup the microcontroller system* Initialize the Embedded Flash Interface, the PLL and update the * SystemCoreClock variable.*…

startup_stm32f407xx.s

使用单片机STM32执行的第一个程序是startup_stm32f407xx.s 指令名称含义EQU给数字常量取一个符号名&#xff0c;相当于C语言中的defineAREA汇编一个新的代码段或者数据段SPACE分配内存空间PRESERVE8当前文件堆栈需按照8字节对齐EXPORT声明一个具有全局属性的标号&#xff0c;可…

Cortext-M3系统:NVIC与中断控制(4)

1、NVIC概述 向量中断控制器&#xff0c;简称NVIC&#xff0c;是Cortex-M3不可分离的一部分&#xff0c;它与CM3内核的逻辑紧密耦合。NVIC的寄存器以存储器映射的方式来访问&#xff0c;除了包含控制寄存器和中断处理的控制逻辑之外&#xff0c;NVIC还包含了MPU、SysTick定时器…

STM32CubeMX——ADC模数转换器开发基础(HAL 库)

一、模数转换器&#xff08;ADC&#xff09;概述&#xff1a; 1、ADC&#xff1a;Analog to Digital Converter 2、将时间和幅值连续的模拟量转化为时间和幅值离散的数字量&#xff0c;A/D 转换一般要经过采样、保持、量化和编码 4 个过程。 3、常用 ADC&#xff1a;逐次逼近…

STM32CubeMX——外部中断基础(HAL 库)

一、STM32 中断系统简介&#xff1a; 1、 外部中断EXTI是 STM32 芯片实时处理外部事件的一种机制&#xff0c;由于中断请求来自GPIO端口的引脚&#xff0c;所以称为外部中断。 2、 STM32 芯片有 16 个外部中断源EXTI0~EXTI15&#xff0c;分别对应着 7 个中断向量&#xff0c;…

STM32 使用串口下载程序( ISP 一键下载)

USB 转串口模块在开发板上是一个独立的模块&#xff0c;可以通过调帽与其他串口连接&#xff0c;USART1/2/3/4/5&#xff0c;只有USART1才具有串口下载的功能 一、硬件连接&#xff1a; 1、BOOT0和BOOT1接地&#xff0c;RXD/TXD接PA9/PA10&#xff0c;开发板默认的调帽&#…

基于STM32+OneNet设计的智能鱼缸

一、设计简述 1.1 设计需求 为了缓解学习、生活、工作带来的压力,提升生活品质,许多人喜欢在家中、办公室等场所养鱼。为节省鱼友时间、劳力、增加养鱼乐趣;为此,本文基于STM32单片机设计了一款基于物联网的智能鱼缸。该鱼缸可以实现水温检测、水质检测、自动或手动换水、…

uc-osⅡ入门——创建工程模板

目录 任务: 概念 简介 性质 组成 1) 核心部分(OSCore.c) 2) 任务处理部分(OSTask.c) 3) 时钟部分(OSTime.c) 4) 任务同步和通信部分 5) 与CPU的接口部分 总结分析和思考 任务管理 时间管理 内存管理 通信同步 任务调度 理解 实践 任务: 了解什么是ucos 创建…

Cortext-M3系统:储存器系统(2)

1、存储系统功能概览 Cortext-M3储存器有如下特点&#xff1a; 存储器映射是预定义的&#xff0c;并且还规定好了哪个位置使用哪条总线。 存储器系统支持所谓的“位带”&#xff08;bit-band&#xff09;操作。通过它&#xff0c;实现了对单一比特的原子操作&#xff0c;位带操…

stm32【 NRF24L01多通道、动态数据、主从一体(3)】

nRF24L01 动态数据长度 回顾 NRF 1对1通讯 NRF多通道通讯 在前面两篇文章里&#xff0c;实现的主从一体的单通道&#xff0c;多通道通讯&#xff0c;接下来讲解关于动态数据长度的配置&#xff0c;是在多通道的基础是进行修改的&#xff0c;而且本篇文章是作为nRF24L01模块调试…

015 - STM32学习笔记 - IIC读写存储器(二)

015 - STM32学习笔记 - I2C访问存储器&#xff08;二&#xff09; 1、完善I2C读写EEPROM 在上节内容中&#xff0c;学习了EEPROM的读写&#xff0c;我用的F429中EEPROM型号为&#xff1a;AT24C02&#xff0c;其容量为256*82Kb&#xff0c;这节学习一下如何对EEPROM进行更深层…

STM32-SPI基础

在之前的笔记中我们已经介绍了SPI通信方式了&#xff0c;详见以下链接&#xff1a;http://t.csdn.cn/zc3P9 首先我们先介绍一些SPI相关的HAL库函数&#xff1a; 1、__HAL_RCC_SSPIx_CLK_ENABLE()&#xff1a;使能SPI时钟&#xff1b; 2、HAL_SPI_Init()&#xff1a;用SPI句柄…

STM32单片机WIFI教室灯光控制系统人数自动灯光温度时间

实践制作DIY- GC0135-WIFI教室灯光控制系统 一、功能说明&#xff1a; 基于STM32单片机设计-WIFI教室灯光控制系统 二、功能介绍&#xff1a; 电路&#xff1a;STM32F103C最小系统板DS18B20温度传感器LCD1602显示器ESP8266WIFI模块4个红外槽型光电传感器3个LED灯多个按键蜂鸣…

(5)---STM32 的时钟系统

目录 1.时钟基本概念 时钟源常见振荡器 振荡电路 晶体振荡器 RC振荡器 2.G030时钟源 3.时钟树 4.STM32CubeMX时钟树配置 1.时钟基本概念 1&#xff09; 时钟是嵌入式系统的脉搏&#xff0c;处理器内核在时钟驱动下完成指令执行&#xff0c;状态变换等动作&#xff0c; 外设部件…

DMA控制两路DAC同时输出指定波形

0. 实验目标 使用 DMA 控制 DAC1 和 DAC2 同时输出不一样的波形&#xff0c;实验平台STM32F407ZG 1. 原理部分 1.1 DMA DMA 全称为&#xff1a;Direct Memory Access&#xff0c;即直接存储器访问。DMA 传输方式无需 CPU 直接控制传输&#xff0c;也没有中断处理方式那样保…

STM32 Proteus仿真自动刹车系统超声波测距电机控制-0042

STM32 Proteus仿真自动刹车系统超声波测距电机控制-0042 Proteus仿真小实验&#xff1a; STM32 Proteus仿真自动刹车系统超声波测距电机控制-0042 功能&#xff1a; 硬件组成&#xff1a;STM32F103C6单片机 LCD1602显示器HCSR04超声波传感器按键(加 减)电机蜂鸣器 1.单片机…

STM32速成笔记—RTC

文章目录 一、RTC简介二、STM32的RTC2.1 主要特性2.2 RTC框图介绍 三、访问后备区域步骤四、RTC配置步骤五、RTC程序配置5.1 RTC结构体定义5.2 RTC初始化函数5.3 设置年月日&#xff0c;时分秒5.4 判断闰年函数5.5 获取当前年月日&#xff0c;时分秒5.6 获取星期几5.7 中断服务…

VESC操作入门(三)——PPM输入控制和ADC输入控制

目录 一、PPM输入控制1.1、硬件准备1.2、PPM信号1.3、校准电机1.4、输入设置 二、ADC输入控制2.1、硬件准备2.2、更改固件2.3、电压信号2.4、校准电机2.5、输入设置 三、电动车转把控制3.1、转把说明3.2、转把测试 四、ADC的其它模式4.1、Current No Reverse Brake ADC24.2、Cu…

i.MX RT1010跨界MCU上手体验(上)

由于项目需要性价比高一些的高性能MCU&#xff0c;了解到NXP的RT1010主频高达500MHZ的MCU&#xff0c;半个月以前已经拿到官方Demo板了&#xff0c;今天抽空上电体验下&#xff0c;在此记录。这颗芯片的优势是主频高&#xff0c;功能全&#xff0c;价格合理&#xff0c;但是需要…

TMS320C6748_PWM

目录 1.主函数执行流程 2.外设使能配置 3.GPIO管脚复用配置 4.DSP中断初始化 5.PWM中断初始化 6.产生波形 6.1 时间基准配置/时钟配置 6.2 配置周期 6.3 禁用输入同步信号 6.4 禁用输出同步信号 6.5 仿真模式行为配置 6.6 配置计数比较器子模块 6.6.1 加载比较器A…

STM32CubeMX_DMA(直接存储器存取)

STM32F103ZE_DMA笔记 直接存储器访问 (DMA) 用于在外设与存储器之间以及存储器与存储器之间提供高速数据传输。可以在无需任何 CPU 操作的情况下通过 DMA 快速移动数据。这样节省的 CPU 资源可供其它操作使用。说白了DMA就是一个搬运工&#xff0c;将数据从一个地方搬到另一个地…

STM32F103ZE_DMA笔记

DMA介绍 DMA(Direct Memory Access)——直接存储器存取&#xff0c;就像其名称一样&#xff0c;DMA的主要作用是用来提供在外设和存储器之间或者存储器和存储器之间的高速数据传输。无须CPU干预 (搬运数据不需要占用CPU) &#xff0c;数据可以通过DMA快速地移动&#xff0c;这…

1.GPIO的工作原理

1.stm32引脚说明&#xff1a; 对于stm32f103zet6&#xff1a; 一共有7组io口&#xff1b;每组io口有16个io&#xff1b;一共有16*7112个io&#xff1b;分组情况为&#xff1a;GPIOA&#xff0c;GPIOB~GPIOG&#xff1b; 2.GPIO的基本结构&#xff1a; 3.GPIO的工作模式&…

stm32外设笔记-按键状态机

文章目录1、配置io口实现按键功能2、第一种按键状态机及其实现3、第二种按键状态机及其实现本文用到的实验平台&#xff1a;野火MINI-stm32开发板STM32CUBE-IDE开发工具 平时写一些程序的时候如果涉及到一些选择的话&#xff0c;经常需要用到按键什么的&#xff0c;不同的方法…

FreeRTOS操作系统配置文件FreeRTOSConfig.h

FreeRTOS操作系统配置文件FreeRTOSConfig.h 一.前言二.宏定义2.1 任务管理机制宏定义2.2 FreeRTOS时钟2.3 FreeRTOS最大优先级2.4 FreeRTOS内存申请FreeRTOS软件定时器 结语 一.前言 FreeRTOSConfig.h文件中有许多宏定义&#xff0c;这些宏定义就像开关一样&#xff0c;为1就是…

STM32外设系列—sg90(舵机)

文章目录 一、sg90简介二、引脚连接三、控制方法四、程序设计4.1 配置定时器4.2 编写控制程序 五、360舵机 一、sg90简介 首先介绍说一下什么是舵机。舵机是一种位置&#xff08;角度&#xff09;伺服的驱动器。适用于一些需要角度不断变化的&#xff0c;可以保持的控制系统。…

Error: L6218E: Undefined symbol SystemInit (referred from startup_stm32f10x_hd.o)

出现的问题在startup_stm32f10x_hd.o也就是startup_stm32f10x_hd.s启动文件中&#xff0c;关于启动文件的介绍参考&#xff1a;http://blog.csdn.net/zhangjikuan/article/details/46619817 解决方法&#xff1a; 屏蔽掉这三条语句 ;IMPORT SystemInit ;LDR R0, SystemI…

STM32F4开发板学习笔记一

STM32F4是由ST&#xff08;意法半导体&#xff09;开发的一种高性能微控制器&#xff0c;之前学习过51单片机的相关知识&#xff0c;现在看STM32F4的芯片&#xff0c;不得不说它强大的外设功能&#xff0c;还有就是固件库。对于STM32这样庞大的芯片&#xff0c;内部寄存器实在太…

AVR学习笔记之熔丝位

AVR学习笔记之熔丝位 1、BOD(Brown-out Detection) 掉电检测电路 BODLEVEL(BOD电平选择): 1: 2.7V电平&#xff1b; 0&#xff1a;4.0V电平。这需要根据芯片的工作电压来选择。 BODEN(BOD功能控制): 1&#xff1a;BOD功能禁止&#xff1b;0&#xff1a;BOD功能允许 使用方法&…

有源蜂鸣器和无源蜂鸣器的区别

有源蜂鸣器和无源蜂鸣器的区别 有源蜂鸣器通直流电就响&#xff01; 1、有无震荡源&#xff0c;有源蜂鸣器内部带震荡源&#xff0c;所以只要一通电就会叫&#xff0c;而无源内部不带震荡源&#xff0c;所以如果用直流信号无法令其鸣叫。 2、价格不同&#xff0c;有源蜂鸣器…

i2c规格书spec介绍

i2c文档 1、简介1.1、主要特征2、I2C功能描述2.1、简介2.2、时钟2.2.1、波特率2.2.2、数据的建立时间、保持时间2.3、包错误校验(PEC)2.4、发送与接收2.4.1、从模式2.4.1.1、从机发送模式2.4.1.2、从机接收模式2.4.2、主模式2.4.2.1、主机发送模式2.4.2.2、主机接收模式2.5、…

中断的解析

文章目录 前言一、中断的概念和意义二、中断服务程序及注意点三、中断类型1.软中断2.硬中断 四、中断优先级五、断点的具体实现总结 前言 本篇文章将给大家讲解一下中断的概念和一些重要的基础知识&#xff0c;掌握好这些知识是学好中断必备的。 一、中断的概念和意义 1.中断…

基础工程(cubeide串口调试,printf实现,延时函数)

0.基础工程&#xff08;cubeide串口调试&#xff0c;printf实现&#xff0c;延时函数&#xff09; 文章目录 0.基础工程&#xff08;cubeide串口调试&#xff0c;printf实现&#xff0c;延时函数&#xff09;外部时钟源CLOCK(RCC)系统时钟SYS与DEBUG设置UART串口设置cubeide设置…

嵌入式题库

嵌入式题库 作者&#xff1a;杨书瑶 第一次作业 一. 单选题&#xff08;共6题&#xff0c;42分&#xff09; 1. (单选题, 7分)下列说法不正确的是&#xff1f; B. ARM是一个人的名称 2. (单选题, 7分)下列不属于嵌入式系统的特点是&#xff1f; C. 具有通用计算机功能 …

采集温度数据,用串口传输到上位机

这里写目录标题一、实验要求二、I2C总线通信协议&#xff08;一&#xff09;概念&#xff08;二&#xff09;I2C总线特征&#xff08;三&#xff09;I2C总线协议&#xff08;四&#xff09;I2C的两种方式——硬件I2C和软件I2C三、AHT20采集温度并上传上位机四、总结五、参考文献…

筋膜枪方案原理简介以及主控MCU参数分享

筋膜枪&#xff0c;也称深层肌筋膜冲击仪&#xff0c;筋膜枪是一种软组织康复工具&#xff0c;通过高频率冲击放松身体的软组织。 筋膜枪可以理解为民用版的DMS&#xff08;电动深层肌肉刺激仪&#xff09;&#xff0c;在使用时振动频率会有所变化&#xff0c;基本作用与DMS差不…

基于STM32F103的红外循迹避障小车设计(含Proteus仿真)

基于STM32F103的红外循迹避障小车设计 红外循迹及红外避障实现较简单&#xff0c;无论是51单片机还是STM32单片机&#xff0c;其例程随处可见。但是完全可以运行的Proteus仿真&#xff0c;开源的并不多&#xff0c;更不要说基于STM32单片机的仿真。 下面跟大家聊聊基于STM32F…

10Kw家用储能变流器原理分析

10Kw家用储能变流器原理分析 待更新&#xff01;

MATLAB EXPO 2023会议记录|基于STM32的MATLAB电机控制方案

算法导出工作流视频&#xff1a;(99 封私信 / 82 条消息) Simulink 算法导出工作流 —— stm32电机控制示例(v2) - 知乎 (zhihu.com) Algorithm-Export Workflows for Custom Hardware 示例&#xff1a; Algorithm-Export Workflows for Custom Hardware - MATLAB & Simuli…

背靠背储能变流器的原理分析

背靠背变流器是一种用于电力转换的设备,通常由两个相互独立的变流器组成,并通过一定的控制方式进行连接和协调工作。它可以将直流电源转换为交流电源,并具有一定的功率因数调节和电网调节功能。其主要应用领域包括太阳能、风能、能源储存等方面。 背靠背变流器是一种采用对称…

基于STM32的SYN6288语音播报模块驱动实验(代码开源)

前言&#xff1a;本文为手把手教学 SYN6288 语音播报模块的驱动实验&#xff0c;本教程的 MCU 采用STM32F103ZET6。通过 CubeMX 软件配置 UART 串口协议驱 SYN6288 模块进行规定的语音播报。考虑到 SYN6288 模块的集成化与智能化很高&#xff0c;所以该模块的使用是极其便利的。…

STM32固态函数库遵从以下命名规则

PPP表示任一外设缩写&#xff0c;例如&#xff1a;ADC; 系统、源程序文件和头文件命名都以“stm32f10x_”作为开头&#xff0c;例如&#xff1a; stm32f10x_conf.h。 常量仅被应用于一个文件的&#xff0c;定义于该文件中&#xff1b;被应用于多个文件的&#xff0c;在对应头…

STM32 FSMC简介(一)

以下内容整理自《STM32中文参考手册》、正点原子《STM32F1开发指南--库函数版》、野火《零死角玩转STM32F103霸道V2》&#xff0c;仅为个人学习方便所整理 1.STM32控制器芯片内部有一定大小的SRAM及FLASH作为内存和程序存储空间&#xff0c;但当程序较大&#xff0c;内存和程序…

STM32之LCD_ShowString()函数

LCD_ShowString(10,50,260,16,16,"Love") 10&#xff1a;表示字符在LCD显示的横轴x的起始坐标 50:表示字符在LCD显示的纵轴y的起始坐标 260:表示显示的字符串的长度设置 16,16:表示显示字符串字体的大小&#xff0c;可设置为12/16/24

ST-LINK V2与STM32的接线方法

小白STM32入门记录贴&#xff1a; ST-LINK STM32 SWCLK/TCK SWCLK/TCK SWDIO/TMS SWDIO/TMS GND GND VCC(可以不接) VCC

NUCLEO-F411RE RT-Thread 体验 (8) - GCC环境 TIM定时器的驱动移植以及基本使用

NUCLEO-F411RE RT-Thread 体验 (8) - GCC环境 TIM定时器驱动移植与基本使用 驱动移植 定时器驱动文件位于drv_hwtimer.c中&#xff0c;对应components层的文件位于rt-thread/components/drivers/hwtimer/hwtimer.c中。 修改Makefile&#xff0c;将其编译进去。 在rtconfig.h…

闪亮登场!在树莓派上点亮LED灯的简单详细方法

文章目录 树莓派开发与STM32开发的比较原理图以及树莓派引脚展示点灯步骤读取树莓派布局 树莓派开发与STM32开发的比较 树莓派和STM32都是常用的嵌入式设备&#xff0c;都可以使用GPIO来控制LED灯。它们的点灯方式和使用的编程语言以及开发环境略有不同: 相同点&#xff1a; 控…

嵌入式操作系统(嵌入式学习)

嵌入式操作系统 嵌入式操作系统是什么&#xff1f;嵌入式操作系统有哪些&#xff1f;常用的嵌入式操作系统及其特点对初学者的建议 嵌入式操作系统是什么&#xff1f; 嵌入式操作系统是一种专门设计和优化用于嵌入式系统的操作系统。它是在资源受限的嵌入式设备上运行的操作系…

STM32F4 点亮灯泡【顺序点亮、按键点亮】

一、顺序点亮灯泡 ①初始化 在user.c文件中&#xff0c;我们需要对LED进行初始化设置。 在函数LED_GPIO_Config中&#xff0c;可以修改代码如下&#xff1a; /*********************************************************************** LED初始化 备注 LED 接在GPC14引脚上…

F429驱动TFT裸屏时LTDC

F429驱动TFT裸屏时LTDC时序配置说明&#xff08;以V6的7寸驱动为例&#xff09; 说明&#xff1a; 1. 经常有兄弟问到这个问题&#xff0c;所有这里就写一个帖子。 2. 基础知识学习&#xff1a; TFT LCD的DE模式和HV模式的区别&#xff1a;http://bbs.armfly.com/read.php?tid…

嵌入式固件的自校验方案(keil + arm)

嵌入式固件的自校验方案背景环境方案步骤背景 嵌入式项目大多都有iap固件升级功能&#xff0c;而网络传输过程文件损坏&#xff0c;升级这些损坏的固件很有可能导致仪器死机成砖的情况&#xff0c;这样在无人值守的情况下&#xff0c;仪器死机会造成很多麻烦&#xff0c;所以仪…

STM32从零开始(八)PWM

这个是用来控制她是输入捕获还是输出比较的&#xff0c;咱们pwm就是输出比较。 所以要写的位有这几个&#xff1a; 一般置pwm即可 一般置1&#xff0c;为了安全&#xff0c;无法立即写入 ccer的这个寄存器也是有用的 这个是设置输出是高电平有效还是低电平有效 和这个搭配着…

STM32从零开始(六)外部中断

先说本质 看不懂可以先跳过&#xff0c;看完后边就懂了。 NVIC就是嵌套向量中断控制器。说白了&#xff0c;就是控制一大堆中断的东西。比如外部中断&#xff0c;或者发生异常以后怎么处理的中断。 NonMaskableInt_IRQn -14, /*!< 2 Non Maskable Interrupt …

STM32从零开始(五)详解RCC库函数

目录 1.将RCC时钟配置重置为默认重置 其实不用单独调用&#xff0c;因为系统会自动运行systemInit这个函数&#xff0c;将时钟在启动的时候置为72mhz。具体每句话其实就是把rcc寄存器不同的位设置一下&#xff0c;设置的结果看手册第六章最后一节&#xff0c;rcc寄存器那里&a…

STM32时钟系统

时钟 时钟是具有周期性的脉冲信号&#xff0c;最常用的是占空比50%的方波。 时钟是单片机的脉搏。 时钟树 HSE&#xff1a;高速外部振荡器&#xff0c;4~16MHz&#xff0c;&#xff08;晶体&#xff0c;陶瓷&#xff09;LSE&#xff1a;低速外部振荡器&#xff0c;32.768KHz…

STM32实现延时

在STM32单片机中&#xff0c;实现延时一般都是使用定时器&#xff0c;既可以使用Systick定时器&#xff0c;也可以使用常规的定时器。 定时器在设置了定时并开启之后&#xff0c;就会进入自主运行模式&#xff0c;其中&#xff0c;初始化设置这一阶段是由CPU执行相应指令完成的…

STM32 低功耗和ADC

低功耗 stm32f103xc&#xff0c;stm32f103xd和stm32f103xE增强型产品支持三种低功耗模式&#xff0c;可以在要求低功耗&#xff0c;短启动时间和多种唤醒事件之间达到最佳的平衡。 睡眠模式 在睡眠模式&#xff0c;只有CPU停止&#xff0c;所有外设处于工作状态并可在发生中…

使用STM32F103的串口实现IAP程序升级功能

使用STM32F103的串口实现IAP程序升级功能 &#x1f3ac;IAP程序烧录全过程演示&#xff1a; ✨这几天折腾IAP升级功能&#xff0c;狂补了很多相关BootLoader相关的知识。本来最想实现IAP升级程序的方式是&#xff0c;基于SPI通讯的SD卡&#xff0c;借助挂载的FatFS文件系统&am…

ThreadX在gcc下的移植

本文介绍ThreadX在arm-none-eabi-gcc编译器下的移植方法。 1、ThreadX介绍和源码获取 threadx的介绍和源码获取请参考之前的博文&#xff1a;ThreadX在mdk(AC5)中的移植。 2、准备工作 本篇主要介绍threadx在corex-m7上的移植&#xff0c;编译器使用arm-none-eabi-gcc。 在…

STM32 OLED显示汉字及屏幕滚动(I2C协议)

文章目录 一、任务目标二、材料准备硬件&#xff1a;软件&#xff1a; 三、AHT20温湿度传感器的使用四、OLED的使用1.硬件2.字模汉字编码原理取字模 3.工程4.电路连接5.实验效果 五、总结六、参考资料 一、任务目标 理解OLED屏显和汉字点阵编码原理&#xff0c;使用STM32F103的…

Linux驱动入门(五)——构建第一个驱动程序

文章目录 前言开发环境配置之内核升级为什么升级内核内核升级 Hello world 驱动程序驱动模块的组成Hello World模块编译Hello World模块模块的操作Hello World模块加载后文件系统的变化 模块参数和模块之间通信模块参数模块的文件格式ELF模块之间的通信模块之间的通信实例 将模…

【裸机开发】EPIT 定时器 —— 按键消抖

实际工程中&#xff0c;不能直接通过延时来消抖 ! 这里我们采用定时器来消抖&#xff0c;这也是内核处理消抖的一种方式。 目录 一、基本原理 1、延时消抖的弊端 2、定时器消抖原理 二、按键消抖实现 1、按键中断 2、定时器中断 三、附加&#xff1a;按键 / 定时器中断初…

【正点原子STM32连载】 第四十一章 游戏手柄实验 摘自【正点原子】STM32F103 战舰开发指南V1.2

1&#xff09;实验平台&#xff1a;正点原子stm32f103战舰开发板V4 2&#xff09;平台购买地址&#xff1a;https://detail.tmall.com/item.htm?id609294757420 3&#xff09;全套实验源码手册视频下载地址&#xff1a; http://www.openedv.com/thread-340252-1-1.html# 第四…

STM32之HAL库微妙延迟(借助Systick)

代码 void bsp_us_delay(uint32_t us) {uint32_t start, now, delta, reload, us_tick;start SysTick->VAL;reload SysTick->LOAD;us_tick SystemCoreClock / 1000000UL;do {now SysTick->VAL;delta start > now ? start - now : reload start - now;} whi…

STM32外设系列—BH1750

文章目录 一、BH1750简介二、BH1750原理图三、BH1750数据手册3.1 指令集3.2 IIC通信读/写 四、BH1750程序设计4.1 IIC程序4.2 BH1750初始化程序4.3 读取BH1750测量结果4.4 获取光照强度4.5 相关宏定义 五、应用实例六、拓展应用6.1 实时调节LED亮度6.2 实时调整颜色阈值 一、BH…

【单片机】STM32单片机的各个定时器的定时中断程序,标准库

文章目录 定时器1_定时中断定时器2_定时中断定时器3_定时中断定时器4_定时中断定时器5_定时中断 高级定时器和普通定时器的区别&#xff08;https://zhuanlan.zhihu.com/p/557896041&#xff09;&#xff1a; 定时器1_定时中断 TIM1是高级定时器&#xff0c;使用的时钟总线是R…

ST - NUCLEO-H723ZG ITM不生效的问题

文章目录 ST - NUCLEO-H723ZG ITM不生效的问题概述CubeMX配置点END ST - NUCLEO-H723ZG ITM不生效的问题 概述 在用NUCLEO-H723ZG官方板子做实验, 想用ITM打印一些调试信息出来. 不好使啊. 后来查问题, 发现 NUCLEO-H723ZG上的HSE, LSE的晶振无效, 等于是不能用外部的HSE, LS…

基于STM32的姿态传感器电路设计与使用

文章目录引言程序设计描述编写串口通信的驱动程序编写系统延时程序对于MPU6050姿态传感器进行初始化编写定时中断程序电路设计描述具体设计分析代码部分详细说明设计实现效果MPU6050内部自带DMP解算姿态角总结引言 设计采用的芯片是STM32F103C8T6&#xff0c;采用的传感器是MP…

Clion开发STM32之W5500系列(三)

前言 编写w5500的驱动测试驱动 驱动编写 相关宏定义 #define sys_force_static_inline __attribute__((always_inline)) static inline寄存器驱动头文件 #ifndef STM32_VET6_W5500_REG_H #define STM32_VET6_W5500_REG_H#include "sys_core.h"#define MR (…

【STM32】F103(64K/128K Flash)外设概述

本文介绍的是STM32F103 中等容量产品&#xff08;STM32F103x8xx和STM32F103xBxx&#xff09;的硬件数据&#xff0c;即64KB或128KB Flash&#xff0c;20KB SRAM。 ST官网资料&#xff1a;https://www.st.com/zh/microcontrollers-microprocessors/stm32f103.html ST官方的中等…

FreeRTOS学习笔记—基础知识

文章目录 一、什么是RTOS二、前后台系统三、实时内核&#xff08;可剥夺型内核&#xff09;四、RTOS系统五、FreeRTOS系统简介六、FreeRTOS源码下载 一、什么是RTOS RTOS全称为:Real Time OS&#xff0c;就是实时操作系统&#xff0c;核心在于实时性。实时操作系统又分为硬实时…

st7735屏幕移植-高清图片显示

文章目录1、屏幕驱动原理2、配置驱动3、源码最近在b站上看到有这个&#xff0c;是国外的一个大佬做的&#xff0c;主控用的是f103&#xff0c;然后屏幕用的是ST7735的驱动IC&#xff0c;该大佬还做了播放视频做的真不错&#xff0c;就想着来复现下&#xff0c;不过大佬是用的AR…

使用IIC驱动MPU6050获取六轴数据

文章目录1、MPU6050设备1、MPU6050介绍2、MPU6050的特点3、MPU6050陀螺仪的工作原理2、获取传感器数据3、读取设备1、查看设备是否存在2、读取加速度&#xff0c;角速度和温度3、源代码本文用到的资料手册&#xff0c;详见&#xff1a; data-manual/ 常见IC 1、MPU6050设备 1、…

步进电机驱动控制总结(一)

文章目录1、步进电机驱动原理2、步进电机驱动设计3、步进电机驱动编写4、源码本文驱动的步进电机为较基础的28BYJ-48步进电机&#xff0c;属于入门级水平&#xff0c;比较好驱动&#xff0c;价格也是相对便宜的&#xff0c;因而步进电机驱动开篇就讲他了&#xff01; 1、步进电…

STM32外设系列—L298N

文章目录 一、L298N简介二、L298N电路图三、L298N使用方法四、L298N驱动电机实例4.1 麦克纳姆轮简介4.2 定时器PWM配置4.3 智能车行驶控制 五、拓展应用 一、L298N简介 L298N是SGS公司生产的一款通用的电机驱动模块。其内部包含4路逻辑驱动电路&#xff0c;有两个H桥的高电压大…

Nucleo-F411RE (STM32F411)LL库体验 8 - PWM的使用

Nucleo-F411RE &#xff08;STM32F411&#xff09;LL库体验 8 - PWM的使用 1、简述 LD2连接PA5&#xff0c;而PA5可以映射TIM2_CH1&#xff0c;配合TIM2&#xff0c;可以输出PWM。 本片文章大量工作是添加了shell命令&#xff0c;可以通过pwm命令开关pwm以及设置pwm的频率&am…

串口通信中一些常用的小工具

文章目录1、逻辑分析仪下的串口数据2、数字转字符串函数3、字符串转整数4、字符串转浮点数5、测试转换方法6、空闲中断DMA接收不定长数据7、高频数据收发测试本文总结下串口通信的一些小技巧 1、逻辑分析仪下的串口数据 首先我们还是先配置一个串口&#xff0c;一般正常情况下…

OLED屏显实验(STM32)

这里写目录标题一、实验要求二、SPI介绍1、什么是SPI&#xff1f;2、SPI优点3、缺点4、特点5、协议通信时序详解SPI的通信过程:三、OLED屏介绍四、实验过程&#xff08;一&#xff09;显示自己的学号和姓名&#xff08;二&#xff09;OLED显示AHT20测得的温度和湿度&#xff08…

stm32外设笔记-freertos配置(二)

文章目录1、任务状态概述2、静态任务的创建3、任务的挂起与恢复4、消息队列5、软件定时器本文用到的实验平台&#xff1a;野火MINI-stm32开发板STM32CUBE-IDE开发工具 本文使用是延续上一篇文章介绍的内容进行的补充介绍&#xff0c;上一篇文章见&#xff1a; stm32外设笔记-f…

STM32F030R8Tx HAL库实现TIM延时及时间测量

工程准备&#xff0c;在以下链接的例程上实现TIM延时及时间测量&#xff0c;参考链接如下&#xff1a; https://blog.csdn.net/mygod2008ok/article/details/106749721 在工程中增加stm32f0xx_hal_tim.c和stm32f0xx_hal_tim_ex.c 在stm32f0xx_hal_conf.h文件中打开HAL_TIM_MOD…

STM32实战项目—停车计费系统

文章目录 一、任务要求1.1 概述1.2 串口收发1.2.1 串口输出内容1.2.2 串口接收内容 1.3 说明 二、实现思路2.1 指令判别2.1 车辆进入2.2 车辆驶出2.3 费率调整 三、程序设计3.1 串口接收消息处理3.2 车辆驶入处理函数3.3 车辆驶出处理函数3.4 费率调整处理函数 题目原型是第十二…

用USART接口代替SPI怎么做?

如何把USART当做SPI用 刚开始学习时就知道USART可以和UART一样使用&#xff0c;但始终没有深究到底它多个同步功能有什么别的用&#xff0c;今天看到一篇文章&#xff0c;让我豁然开朗。 需要解答的问题&#xff1a; 它与UART有什么区别呢&#xff1f;它的硬件连接方式是怎样…

STM32复位系统

STM32复位及通过函数判断是何种条件出发的复位 STM32F10xxx支持三种复位形式&#xff0c;分别为系统复位、上电复位和备份区域复位。 一、系统复位&#xff1a; 系统复位将复位所有寄存器至它们的复位状态。 当发生以下任一事件时&#xff0c;产生一个系统复位&#xff1a; …

485代码分析

rs485.h #ifndef __RS485_H #define __RS485_H #include "sys.h" extern u8 RS485_RX_BUF[64]; //接收缓冲,最大64个字节 extern u8 RS485_RX_CNT; //接收到的数据长度//模式控制 #define RS485_TX_EN PDout(7) //485模式控制.0,接收;1,发送…

DMA基本原理+实验

DMA简介&#xff1a; DMA 全称Direct Memory Access&#xff0c;即直接存储器访问。 DMA传输将数据从一个地址空间复制到另一个地址空间。当CPU初始化这个传输动作&#xff0c;传输动作本身是由DMA控制器来实现和完成的。 DMA传输方式无需CPU直接控制传输&#xff0c;也没有中…

stm32—文件封装

一&#xff0c;环境&#xff1a; 开发环境&#xff1a;MKD5 固件库版本&#xff1a;V3.5&#xff08;可自行在官网下载&#xff09; 二&#xff0c;步骤&#xff1a; 1&#xff0c; 在建立工程之前&#xff0c;我们建议用户在电脑的某个目录下面建立一个文件夹&#xff0c;后…

基于STM32+FPGA的DDS实现

DDS 参考文章&#xff1a;基于FPGA的DDS SPI 参看文章&#xff1a;基于Stm32FPGA的SPI 功能实现&#xff1a; 设备&#xff1a;MCU&#xff1a;STM&#xff13;&#xff12; &#xff0b;FPGA&#xff1a;DE&#xff10;开发板 &#xff0b; DA&#xff0f;AD 实验板 …

SystemInit解析和SetSysClock()

void SystemInit(void) {/* FPU settings 浮点运算单元设置&#xff0c;此处一般不执行---------------------------*/#if (__FPU_PRESENT 1) && (__FPU_USED 1)SCB->CPACR | ((3UL << 10*2)|(3UL << 11*2)); /* set CP10 and CP11 Full Access */#e…

link.sct解析

keill默认对通过链接文件link.sct&#xff08;分散加载文件&#xff09;放到指定的位置。 分为加载区和执行区 加载区&#xff1a;程序复位和加载的代码和数据 执行区&#xff1a;程序执行过程中&#xff0c;从每个加载区可创建一个或多个执行区 ; **************************…

STM32 看门狗和嘀嗒定时器

看门狗 一个定时器&#xff0c;独立的定时器&#xff0c;对单片机CPU进行监控&#xff0c;一旦CPU的程序出现错误&#xff0c;或者电压过低使单片机出现任何意外情况&#xff0c;看门狗就会给单片机复位使单片机回到初始状态。单片机就会从错误中脱离出来。 看门狗–是一个定时…

STM32 调解模式和定时器

调解模式 串行单线调试&#xff08;SWD&#xff09;和JTAG接口 想要开发一款好程序&#xff0c;必须经历一个调试的过程。 ARM内核自带调试功能&#xff1b; 多达7个定时器&#xff1b; 3个16位定时器&#xff0c;每个定时器有多达4个用于输入捕获/输出比较/PWM或脉冲计数…

STM32 DMA和I/O端口

DMA ; 7通道DMA控制器支持外设&#xff1b;定时器&#xff0c;ADC&#xff0c;SPI&#xff0c;I2C&#xff0c;USART。 **7通道的意思是 &#xff1b;先不用知道&#xff08;手动狗头&#xff09;。 DMA主要用途&#xff1b; 上一篇讲过&#xff0c;DMA帮助我们把ADC的数据直…

stm32f103c8t6控制多个步进电机

stm32f103c8t6控制多个步进电机关于两相步进电机的详细内容&#xff0c;相信在网上一大堆可以找得到&#xff0c;这里我主要介绍的是实践部分。 首先&#xff0c;我们需要认清步进电机的四根线&#xff0c;一般来说四根线颜色基本都为红、蓝、绿、黑&#xff0c;对应的分别是A&…

stm32f103c8t6控制OLED显示屏

stm32f103c8t6控制OLED显示屏0.96寸4针OLED显示屏 IIC 蓝色0.96寸OLED显示屏 7针SPI蓝色&#xff0c;6针黄蓝双色0.96寸4针OLED显示屏 IIC 蓝色 话不多说&#xff0c;直接先上图&#xff01; 显然可以看出&#xff0c;利用IIC接口显示出来的文字是没那么清晰的&#xff0c;有…

STM32在Keil5中硬件仿真问题记录汇总

STM32在Keil5中硬件仿真问题记录汇总问题描述使用平台处理方式硬件上接线问题问题描述 0x1FFFF3AE 0549 LSLS r1,r1,#21 0x1FFFF3B0 D5FB BPL 0x1FFFF3AA 0x1FFFF3B2 F8D01808 LDR r1,[r0,#0x808]使用ARM仿真器&#xff0c;在打开仿真后指针无法进入…

Android Looper和Handler

Message&#xff1a;消息&#xff0c;其中包含了消息ID&#xff0c;消息处理对象以及处理的数据等&#xff0c;由MessageQueue统一列队&#xff0c;终由Handler处理。 Handler&#xff1a;处理者&#xff0c;负责Message的发送及处理。使用Handler时&#xff0c;需要实现handl…

stm32 timer pwm输入捕获理解

一、概念理解 PWM输入捕获模式是输入捕获模式的特例&#xff0c;自己理解如下 每个定时器有四个输入捕获通道IC1、IC2、IC3、IC4。且IC1IC2一组&#xff0c;IC3 IC4一组。并且可是设置管脚和寄存器的对应关系。 同一个TIx输入映射了两个ICx信号。 这两个ICx信号分别在相反的…

stm32直流电机驱动与测速总结

通过实验发现&#xff0c;定时器的一个通道控制一个pwm信号。 在正式开始之前也可以参考这个视频学习资料 (stm32直流电机驱动) http://www.makeru.com.cn/live/1392_1218.html?s45051 超声波雷达测距仪 http://www.makeru.com.cn/live/15971_2626.html?s45051 PWM驱动…

ARM Keil5下载安装并导入STM32芯片

目录一、安装包下载地址二、安装Keil5步骤三、导入STM32的CPU1、下载芯片数据2、找到下载的文件并双击安装芯片3、上述步骤完成后即可在工程创建时选择对应芯片四、参考一、安装包下载地址 https://www.keil.com/demo/eval/arm.htm 二、安装Keil5步骤 1、选Next 2、 3、 4、…

15 . PWM 学习实验

PWM 学习实验 我们介绍了STM32F1 的通用定时器&#xff0c;使用TIM4 的更新溢出中断控制D2 指示灯闪烁。现在我们来学习如何使用通用定时器产生PWM 输出。本章要实现的功能是&#xff1a;通过TIM3 的通道1 输出PWM 信号&#xff0c;控制D7 指示灯的亮度。分为如下几部分内容&a…

stm32 USART串口应用详解(超有用)

通用同步异步收发器&#xff08;USART&#xff09;提供了一种灵活的方法来与使用工业标准NR 异步串行数据格式的外部设备之间进行全双工数据交换。 USART利用分数波特率发生器提供宽范围的波特率选择&#xff0c;支持同步单向通信和半双工单线通信。 1、STM32固件库使用外围设…

正点原子stm32HAL库学习_基础篇_1

单片机简介 STM32芯片分类 ST中文社区网&#xff1a;https://www.stmcu.org.cn/ ST官网&#xff1a;https://www.st.com/content/st_com/en.html STM32命名规则 数据手册 在设计STM32F103 原理图的时候&#xff0c;我们需要用到一个非常重要的文档&#xff1a;STM32F103 数据 …

Cortex-M入门

Cortex-M入门 还是要看书&#xff0c;看书才能系统性地掌握。手上得有块开发板&#xff0c;实践才能深刻理解。开发工具要用好&#xff0c;“工欲善其事&#xff0c;必先利其器”。 http://blog.csdn.net/zoomdy/article/details/54799787 mingdu.zheng at gmail dot com 还…

stm32 + w25qxx + EasyFlash

一&#xff0c;软件介绍 EasyFlash 是一款开源的轻量级嵌入式Flash存储器库&#xff0c;方便实现基于Flash存储器的常见应用开发。适合智能家居、可穿戴、工控、医疗等需要断电存储功能的产品&#xff0c;资源占用低&#xff0c;支持各种 MCU 片上存储器。 [1] 该库目前提供…

STM32中AD采样的三种方法分析

在进行STM32F中AD采样的学习中&#xff0c;我们知道AD采样的方法有多种&#xff0c;按照逻辑程序处理有三种方式&#xff0c;一种是查询模式&#xff0c;一种是中断处理模式&#xff0c;一种是DMA模式。三种方法按照处理复杂方法DMA模式处理模式效率最高&#xff0c;其次是中断…

嵌入式大佬给你分析stm32串口

stm32作为现在嵌入式物联网单片机行业中经常要用多的技术&#xff0c;相信大家都有所接触&#xff0c;今天这篇就给大家详细的分析下有关于stm32的出口&#xff0c;还不是很清楚的朋友要注意看看了哦&#xff0c;在最后还会为大家分享有些关于stm32的视频资料便于学习参考。 什…

解决方法:IAR The selected device does not match the target device

IARST-Link调试STM32时&#xff0c;出现 Fatal error: The selected device does not match the target device 错误&#xff0c;并且无法调试。这是 IAR Embedded Workbench for ARM 7.80.3 的一个bug&#xff0c;从IAR下载补丁即可解决该问题。 根据帖子 http://www.stmcu.o…

stm32中的DMA你搞懂了吗!!!

一. 对于大容量的STM32芯片有2个DMA控制器&#xff0c;控制器1有7个通道&#xff0c;控制器2有5个通道 每个通道都可以配置一些外设的地址。   二. 通道的配置过程&#xff1a;   1. 首先设置CPARx寄存器和CMARx寄存器。 通过DMA控制器把一个地址的值复制到另外一个地…

控制直流电机正反转以及刹车电路设计

一。直流电机 给接线端加上电源电机就会转动&#xff0c;加相反的电源电机就是朝相反的方向转动。 加个转接板是为了方便实验以及拆装。在电源位置并联了一个104电容&#xff0c;防止电机在转动过程中产生电火花以及滤波的作用。 锂电池标称电压为7.4V&#xff0c;充满电后为…

单片机通识之按键扫描框架(非死等消抖)

按键是用户向单片机输入信息的重要方式之一&#xff0c;最近遇到很多刚大学毕业的学生在写按键消抖时&#xff0c;都是在死等&#xff0c;这种方式并不实用&#xff0c;应该没有产品会采用这种低效的方式。 本文就该问题展开叙述&#xff0c;提供一个可行的思想。在示例代码中…

STM32F407 滴答定时器

介绍STM32F407滴答定时器配置方法、使用方式&#xff0c;封装延时函数得到精确的时间。 【1】介绍滴答定时器的章节 STM32F407参考手册中第10章介绍了滴答定时器的校准值。 M4权威指南介绍滴答定时器的章节&#xff0c;M3权威指南中与M4权威指南中的介绍一样。 【2】滴答定时…

Linux环境下ARM开发工具TrueSTUDIO初体验

Linux环境下ARM开发工具TrueSTUDIO初体验 TrueSTUDIO是Atollic公司出品的ARM开发工具&#xff0c;号称“The best FREE C/C IDE for ARM development”&#xff0c;从7.0版本开始推出了Linux版&#xff0c;后续还会推出Mac OS X版。截止今日&#xff08;2017年1月25日&#xf…

76、基于STM32单片机车牌识别摄像头图像处理扫描设计(程序+原理图+PCB源文件+相关资料+参考PPT+元器件清单等)

单片机主芯片选择方案 方案一&#xff1a;AT89C51是美国ATMEL公司生产的低电压&#xff0c;高性能CMOS型8位单片机&#xff0c;器件采用ATMEL公司的高密度、非易失性存储技术生产&#xff0c;兼容标准MCS-51指令系统&#xff0c;片内置通用8位中央处理器(CPU)和Flash存储单元&a…

stc8a8k--产生随机数,某个范围的整数

#include <stc8a8k.h> #include <intrins.h> #include <stdlib.h> #include <stdio.h>//定时器0&#xff0c;闪烁的定时器 //定时器1&#xff0c;预留 //定时器2作为串口1de 波特率发生器 //定时器3作为预留 //定时器4&#xff0c;作为系统时钟&#x…

arduino-esp32--MPU6050库04

1、测试旋转角度&#xff0c;X轴&#xff0c;Y中&#xff0c; Z轴。 Z轴会飘逸&#xff0c;放久了&#xff0c;会飘逸很大&#xff0c;测量不准确。就是水平旋转的时候。特别要在注意&#xff0c;具体原因自己百度。 2、 3、下载库。 步骤&#xff1a; &#xff08;1&#x…

STM32F407 基本定时器配置输出PWM方波

介绍STM32F407定时器PWM波形输出配置方式。 通过逻辑分析采集波形数据进行可视化显示对比。 【1】定时器PWM功能介绍 STM32F4 的定时器除了 TIM6 和 7。其他的定时器都可以用来产生 PWM 输出。其中高级定时器 TIM1 和 TIM8 可以同时产生多达 7 路的 PWM 输出。而通用定时器也…

STM32 | 分享一个简单易用的单片机裸机程序模板,附代码实例分析!

1024G 嵌入式资源大放送&#xff01;包括但不限于C/C、单片机、Linux等。关注微信公众号【嵌入式大杂烩】&#xff0c;回复1024&#xff0c;即可免费获取&#xff01; 前言 前不久&#xff0c;我有位做测试的朋友转去做开发的工作&#xff0c;面试遇到了一个问题&#xff0c;他…

LiteOS | 基于LiteOS的智慧农业案例实验分享

1024G 嵌入式资源大放送&#xff01;包括但不限于C/C、单片机、Linux等。关注微信公众号【嵌入式大杂烩】&#xff0c;回复1024&#xff0c;即可免费获取&#xff01; 分享一个基于小熊派LiteOS华为云的案例实验&#xff08;智慧农业&#xff09;。 实验框图 相关模块简介 1、…

C语言 | 嵌入式位操作精华技巧汇总

1024G 嵌入式资源大放送&#xff01;包括但不限于C/C、单片机、Linux等。关注微信公众号【嵌入式大杂烩】&#xff0c;回复1024&#xff0c;即可免费获取&#xff01; 分享关于位操作一些笔记&#xff1a; 一、位操作简单介绍 首先&#xff0c;以下是按位运算符&#xff1a; …

STM32 | ISP下载的原理是什么?

1024G 嵌入式资源大放送&#xff01;包括但不限于C/C、单片机、Linux等。关注微信公众号【嵌入式大杂烩】&#xff0c;回复1024&#xff0c;即可免费获取&#xff01; 上一篇笔记分享了STM32的串口IAP实例&#xff1a;STM32串口IAP分享。其中&#xff0c;下载IAP程序时用ISP的方…

FRAM铁电存储器FM25W256编程实现存取数据

【本文发布于https://blog.csdn.net/Stack_/article/details/116353030&#xff0c;未经许可不得转载&#xff0c;转载须注明出处】 一、电路 二、配置SPI CSDN Tyrion.Mon /*** brief 初始化SPI1* note SPI1_NSS -- PB12* SPI1_SCK -- PB13* SPI1_MI -- P…

JFET结型场效应管的总结

JFET管是一种耗尽型压控MOS管。具体的工作原理不在叙述。所谓耗尽型即不加Vgs电压时即有导电通道存在&#xff0c;可以工作。 1.JFET管各区的区分 n型JFET管常用的工作区为可变电阻区和恒流区&#xff08;饱和区&#xff09;。 可变电阻区&#xff1a;条件Vds<Vgs-Vth。此区…

keil5的Cannot Load Flash Programming Algorithm

问题描述 使用keil build程序并且load时出现如下问题&#xff1a; 问题分析 这个首先要看一下mtk版本 5.26 以下的就会有此问题 μVision CMSIS-DAP 调试驱动程序中存在一个问题&#xff0c;当在 CMSIS-DAP 固件中配置> 512 字节的 USB 数据包大小时&#xff0c;该驱动程…

关于芯片中电源纹波抑制比PSRR参数的理解

** 1.电源纹波抑制比PSRR&#xff1a; 一般的转换器芯片都有这个参数&#xff0c;其就是评估芯片对供电电源纹波的抑制能力的一个参数。对于任意一款芯片&#xff0c;按照要求给芯片VCC管脚供电时&#xff0c;其可以输出一定的信号&#xff0c;满足我们的使用。但若是给芯片V…

stm32的PWM和DAC练习

STM32的PWM和DAC练习用STM32F103输出一路PWM波形用STM32F103的DAC功能完成以下波形输出用STM32F103输出一路PWM波形 1.在野火官方资料库中&#xff0c;找到“TIM—通用定时器-4路PWM输出”文件夹&#xff0c;打开keil工程文件 2.打开魔法棒&#xff0c;选中“Use Simulator” …

【STM32】基于stm32的阿里云智能家居

摘 要 智能家居是一种通过物联网将家里的各种电器设备连接在一起&#xff0c;并由中心控制器统一管理的信息系统。系统的核心是各类家居信息的采集与处理。阿里云能够提供云端的数据存储和分析功能&#xff0c;可以作为智能家居中心控制器的重要平台。 本文主要研究了基于阿里云…

MDK配置jlink仿真器步骤

MDK配置jlink仿真器步骤&#xff1a; 1.如下图 2.设置为SW模式 3.选择处理器的flash大小 4.设置utilities 5.查看是否是SW模式 6.查看Flash大小 重新编译程序download就好了

RAM check failed @address 0x20000000

STM32用J-Flash ARM 软件连接jlink仿真器擦除芯片时遇到错误 RAM check failed address 0x20000000.Write: 0x03020100 07060504 Read : 0xAAAAAAAA AAAAAAAA(0 bytes of RAM have been checked successfully)Failed to erasechip也可能出现0x20000008&#xff0c;0x2000000c.c…

STM32 外部中断EXTI

&#xff08;注释&#xff1a;红线表示中断的走向。 绿线&#xff1a;表示事件的走向&#xff0c;事件触发可以让脉冲发生器发生脉冲&#xff0c;促使AD进行一次数据采集&#xff09; 外部中断线有20条&#xff0c;其中16条用于 ● EXTI线0 - 15连接 GPIOx 0 - 16 &#xff08;…

SetSysClockTo72配置系统时钟函数

上电调用的时钟函数 static void SetSysClockTo72(void) {__IO uint32_t StartUpCounter 0, HSEStatus 0;/* SYSCLK, HCLK, PCLK2 and PCLK1 configuration ---------------------------*/ /* 使能 HSE */ RCC->CR | ((uint32_t)RCC_CR_HSEON);/*等待SHE就绪并做超…

【STM32】Keil RTE使用记录

0 前言 最近因为任务需要&#xff0c;再次开始研究STM32&#xff0c;打算过一遍之前记录的笔记&#xff0c;在创建工程模板时&#xff0c;突然发现一个之前被自己忽略的东西&#xff0c;那就是创建项目时会弹出的Run-Time Environment&#xff0c;抱着好奇的心态去找了一些资料…

STM32 时钟 复位和电源管理

电源管理 -2.0 ~ 3.6V 供电和I/O引脚&#xff0c;引脚带FT标的兼容5V。 复位 上电/断电复位&#xff08;POR/PDR&#xff09;&#xff0c;可编程电压监测器&#xff08;PVD&#xff09;&#xff08;设定一个电压值&#xff0c;低于此电压时&#xff0c;单片机复位&#xff0…

STM32_基础入门(十)_外部中断实验

持续关注阿杰在线更新保姆式笔记~~坚持日更 战舰/精英STM32F1开发板 《STM32F1开发指南-库函数版本》-第10章 外部中断实验 STM32F1xx官方资料&#xff1a; 《STM32中文参考手册V10》-第9章 中断和事件 目录 一、外部中断概述 二、外部中断常用库函数 三、外部中断的一般…

STM32 内核与存储器

看数据手册第一页 stm32f103x8 中等容量增强型&#xff0c;32位基于ARM核心的带64k或128k字节闪存的微控制器 USB,CAN,7个定时器&#xff0c;2个ADC&#xff0c;9个通信接口。 内核 &#xff1b; ARM 32位的Cortex TM-M3 CPU 1.最高75MHz工作频率&#xff0c;在存储器的0等…

pwm控制ili9341背光屏幕亮度(stm32f4)

在人眼辨识能力范围内&#xff0c;调节LED亮的时间&#xff0c;达到LED灯的亮度不同。例&#xff1a;给低电平LED就亮&#xff0c;如果一个脉冲中全是低电平那么LED最亮&#xff0c;如果一个脉冲一半是高电平&#xff0c;一半是低电平&#xff0c;那么LED就显得有点暗&#xff…

串口初始化

代码来自正点原子ALIENTEK void uart_init(u32 pclk2,u32 bound) { float temp;u16 mantissa;u16 fraction; temp(float)(pclk2*1000000)/(bound*16);//得到USARTDIVmantissatemp; //得到整数部分fraction(temp-mantissa)*16; //得到小数部分 <span style"w…

招聘 | 上海/西安哲库处理器芯片方向春招和实习生招聘

哲库负责开发处理器芯片的核心部门现开放春招和实习生招聘。芯片类任何岗位均有空缺。上海和西安均有就业和实习的岗位。发送简历至师兄邮箱&#xff1a;zeku_hrsina.com。请在邮件末尾备注&#xff1a;工号50000671。将有尝试多次免笔试不同部门的面试机会。

STM32固件库编程入门

目录 一、初识stm32固件库 1、什么是固件库 2、初识库函数 二、库函数新建工程 1、新建本地工程文件夹 2、新建工程 三、GPIO 输出—使用固件库点亮 LED 1、LED引脚宏定义 2、 LED 灯亮灭状态的宏定义 3、LED GPIO 初始化函数 4、主函数 工程文件结构的基本构成 软…

GPIO库函数

持续关注阿杰在线更新保姆式蓝桥杯笔记~~坚持日更 函数名称 功能描述 GPIO_DeInit 重新初始化外围设备GPIOx相关寄存器到它的默认复位值 GPIO_AFIODeInit 初始化交错功能(remap, event control和 EXTI 配置) 寄存器 GPIO_Init 根据GPIO_初始化结构指定的元素初始化外围设备G…

..\CORE\core_cm3.h(1569): error: #20: identifier “IRQn_Type“ is undefined

今天在用keil5编译的时候出现了这个错&#xff1a; …\CORE\core_cm3.h(1569): error: #20: identifier “IRQn_Type” is undefined&#xff1b; 点到后&#xff0c;直接链接到core_cm3.h中的。网上搜索后&#xff0c;都是说因为没有加#include “stm3210x.h”&#xff0c;然后…

LwIP学习笔记——STM32 ENC28J60移植与入门

0.前言去年&#xff08;2013年&#xff09;的整理了LwIP相关代码&#xff0c;并在STM32上“裸奔”成功。一直没有时间深入整理&#xff0c;在这里借博文整理总结。LwIP的移植过程细节很多&#xff0c;博文也不可能一一详解个别部分只能点到为止。【本文要点】【1】不带操作系统…

STM32NET学习笔记——索引

0.前言嵌入式以太网开发是一个很有挑战性的工作。通过几个月的学习&#xff0c;个人觉得大致有两条途径。第一条途径&#xff0c;通过高级语言熟悉socket编程&#xff0c;例如C#或C&#xff0c;熟悉bind&#xff0c;listen&#xff0c;connect&#xff0c;accept等函数&#xf…

使用Clion完成stm32F103 点亮LED的程序

文章目录一、实验要求二、相关软件安装相关软件的安装以及环境的配置&#xff1a;Clion安装&#xff1a;安装arm-none-eabi-gcc安装openocd安装MinGW添加到系统环境变量&#xff1a;设置Clion&#xff1a;配置Embedded Development&#xff1a;三、实验过程四、实验总结&#x…

Ubuntu、stm32下的C程序中堆、栈、全局、局部等变量的分配地址

文章目录一、C语言程序里全局变量、局部变量、堆、栈等概念存储区域&#xff1a;内存的分配:变量的内存分配RAM和ROM、Flash Memory的物理特性不同数据的存放位置Keil 的Build Output窗口关于堆(stack)和栈(heap)详细比较二、实验要求三、实验过程&#xff1a;在Ubuntu(x86)系统…

基于STM32的无线遥控手柄(集成MPU6050)

自制遥控手柄&#xff0c;可控制机器人&#xff0c;遥控车等 主控芯片为STM32F405 无线通信为433M通信模块 遥控包含MPU6050六轴陀螺仪 OLED显示 拨码开关改变不同工作模式 先来张PCB3维图 使用Altium Designer 软件设计 第一步&#xff1a;原理图设计 第二步&#xff1…

STM32工程代码HardFault异常查错调试方法

stm32工程代码HardFault异常查错调试方法 一、导致异常的原因很多&#xff0c;例如&#xff1a;直接使用未分配空间的指针、栈溢出等一场非法操作便会使程序进入HardFault异常状态。下面介绍怎么找出程序中的异常。 接下来在keil_MDK工程中&#xff0c;编译代码&#xff0c;并…

(嵌入式)STM32G061C8T6、STM32G061C6T6、STM32G061C8U6 64MHz 64KB/32KB 闪存(MCU)

STM32G0 32位微控制器 (MCU) 适合用于消费、工业和家电领域的应用&#xff0c;并可随时用于物联网 (IoT) 解决方案。这些微控制器具有很高的集成度&#xff0c;基于高性能ARM Cortex-M0 32位RISC内核&#xff0c;工作频率高达64MHz。该器件包含内存保护单元 (MPU)、高速嵌入式内…

(stm32)Unix时间戳

时间戳计时系统和常用的年月日时分秒计时系统有很大差别 时间戳&#xff1a;只计算从1970年1月1日0点整为0秒&#xff0c;只用最基本的秒来计时&#xff0c;用不进位。 常用计时系统&#xff1a;60秒进位1分钟&#xff0c;60分钟进位1小时&#xff0c;24小时进位1天&#xff…

基于野火的STM32学习笔记——RCC系统时钟

基于野火的STM32学习笔记——RCC系统时钟 前言 新年后在家疯狂摸鱼。。。然后就把之前学的忘了。。。 所以就赶紧写几篇博客恢复一下记忆 orz 目录基于野火的STM32学习笔记——RCC系统时钟前言一、时钟的理解二、时钟工作框图工作流程图红色部分位黄色部分位蓝色部分位绿色部…

基于STM32与TB6600的机械臂项目(代码开源)

前言&#xff1a;本文为手把手教学STM32的机械臂项目——Robot Arm&#xff0c;本次项目采用的是STM32作为MCU。该机械臂的基础模型为国外开源项目&#xff0c;诸多前辈经过长时间的验证与改进&#xff0c;其机械臂精度可以满足日常需求。本项目机械臂为三自由度机械臂&#xf…

STM32F407实现NEC协议红外线解码

【1】NEC红外线协议介绍 NEC红外线协议是一种常用的红外线通信协议&#xff0c;广泛应用于消费电子产品中&#xff0c;如电视、DVD播放器、空调遥控器等。该协议定义了红外线通信的物理层和数据链路层规范&#xff0c;以实现可靠的红外线数据传输。 下面是NEC红外线协议的详细…

STM32CubeMX——OLED开发基础(HAL 库)

一、开发基础概述&#xff1a; 1、0.96 寸 OLED 模块接口定义&#xff1a; SPI 接口模块&#xff1a; GND   电源地VCC   电源正&#xff08;3 ~ 5.5V&#xff09;D0    OLED 的 D0 脚&#xff0c;在 SPI 和 IIC 通信中为时钟管脚D1    OLED 的 D1 脚&#xf…

TMS320C6748_EMIF时钟配置

创龙TL6748开发板中&#xff0c;EMIFA模块使用默认的PLL0_SYSCLK3时钟&#xff0c;使用AISgen for D800K008工具加载C6748配置文件C6748AISgen_456M_config&#xff08;Configuration files&#xff0c;在TL_TMS6748/images文件夹下&#xff09;&#xff0c;由图可以看到DIV3等…

关于斩波频率一点理解

> 斩波频率一般是指 直流斩波 直流斩波是将固定的直流电压变换成可变的直流电压&#xff0c;也称为DC/DC变换。 斩波器的工作方式有两种&#xff0c;一是脉宽调制方式&#xff0c;Ts&#xff08;周期&#xff09;不变&#xff0c;改变Ton&#xff08;通用&#xff0c;Ton为开…

STM32串口DMA收发机制,文末付代码

1 前言 直接存储器访问&#xff08;Direct Memory Access&#xff09;&#xff0c;简称DMA。DMA是CPU一个用于数据从一个地址空间到另一地址空间“搬运”&#xff08;拷贝&#xff09;的组件&#xff0c;数据拷贝过程不需CPU干预&#xff0c;数据拷贝结束则通知CPU处理。因此&a…

STM32F103驱动HCSR04超声波测距显示

STM32F103驱动HCSR04超声波测距显示 目录超声波模块介绍①实物图②产品说明③电气参数④超声波工作原理 驱动代码①STM32配置初始化代码①超声波驱动应用代码②超声波距离计算代码 具体测试现象总结 目录 超声波模块介绍 超声波模块在电子DIY作品中实现小车避障测距等方面均应…

IIC时序和linux驱动框架剖析

作者原地址&#xff0c; https://www.cnblogs.com/alantu2018/p/8994719.html 博客园Logo 首页 新闻 博问 专区 闪存 班级 代码改变世界 搜索 注册 登录 AlanTu 随笔 - 952, 文章 - 0, 评论 - 47, 阅读 - 215万 对 IIC 总线的理解、调用函数以及常见面试问题 一、IIC 总线概述…

STM32定时器[直接用寄存器]

在之前我们讲到过滴答时钟&#xff0c;也就是滴答定时器&#xff0c;这节我们讲TIM定时器。 文章目录一、定时器分类二、定时器位置三、定时器计数模式1、向下计数模式2、向上计数模式3、向上向下双向计数模式&#xff08;中央对齐模式&#xff09;四、TIM定时器1、高级控制定时…

4.2系统时钟的剖析

1.系统时钟来源 系统时钟可以选择HSI&#xff0c;HSE&#xff0c;PLLCLK 通常使用PLLCLK 2.PLLCLK怎么配置 1.从启动文件可以看出&#xff0c;先调用main函数的时候&#xff0c;需要先调用时钟初始化函数 Reset_Handler PROCEXPORT Reset_Handler [WEAK]IM…

1.STM32的GPIO

1.GPIO是什么 可以做输入输出的端口&#xff0c;GPIO端口通过程序可以配置输入输出模式和复用的功能。 2.在芯片中如何分布 1.一共有九组IO口&#xff0c;PA-PI 2.其中PA-PH&#xff0c;每组16个 3.PI只有PI0-PI11 4.STM32中176多个引脚&#xff0c;140个可以作为IO口。 3…

NRF24L01 无线模块

NRF24L01 简介 NRF24L01 可以实现点对点或者是1&#xff08;收&#xff09;对6&#xff08;发&#xff09;的无线通信。NRF24L01 采样SPI通信&#xff0c;很方便连接MCU NRF24L01 无线模块&#xff0c;采用的芯片是 NRF24L01&#xff0c;该芯片的主要特点如下&#xff1a; 1&a…

STM32--CAN1和CAN2关系

在STM32中CAN1和CAN3是独立的&#xff0c;CAN2和CAN1有关联&#xff0c;所以在CAN2初始化和设置过滤器前需要添加CAN1的代码。 CAN_HandleTypeDef hcan1;/* CAN1 init function */ void MX_CAN1_Init(void) {/* USER CODE BEGIN CAN1_Init 0 *//* USER CODE END CAN1_Init 0 *…

STM32 LVGL移植

参考链接&#xff1a;10分钟教你在MDK中部署LVGL 获取LVGL cmsis-pack 链接&#xff1a;https://github.com/lvgl/lvgl/tree/master/env_support/cmsis-pack 下载好之后直接单击安装或者手动选择打开方式&#xff08;Pack Unzip&#xff09; MDK中加入LVGL 具体什么用途在参考…

面试中比较常问的通信协议总结

文章目录1、UART1、同步和异步2、硬件层3、协议层2、IIC1、特点2、硬件层3、协议层3、SPI1、特点2、硬件层3、协议层本文仅代表我个人观点&#xff0c;算是个人总结吧&#xff0c;相信也会随着学习的不断深入对本文不断改进。 1、UART 1、同步和异步 首先还是看下两个概念的…

stm32看门狗定时器记录

文章目录1、看门狗介绍2、独立看门狗3、窗口看门狗1、看门狗介绍 STM32 有两个看门狗&#xff0c;一个是独立看门狗另外一个是窗口看门狗。 独立看门狗简单来说就是一个 12 位的递减计数器&#xff0c;当计数器的值从某个值一直减到 0 的时候&#xff0c;系统就会产生一个复位信…

NUCLEO-F042K6驱动的彩色灯环(WS2812)

NUCLEO-F042K6驱动的彩色灯环&#xff08;WS2812&#xff09; NUCLEO-F042K6是STMicroelectronics出品的Nucleo系列开发板之一&#xff0c;MCU为Cortex-M0核心的STM32F042K6。WS2812是常用的集成驱动电路的16M色LED。这里介绍使用STM32F042K6的SPIDMA驱动WS2812的方法以及源代…

ST STM32H723ZGTx - NUCLEO-H723ZG DMAMUX_RequestGen例程重现/消化/改进

文章目录 ST STM32H723ZGTx - NUCLEO-H723ZG DMAMUX_RequestGen例程重现/消化/改进概述笔记问题的难点为了确定程序流程, 加入ITM将CubeMX升级到最新将CubeMX说明书翻一下CubeMX配置芯片功能实验工程主要代码.sct文件main.c中断实现文件 stm32h7xx_it.c.ioc补充END ST STM32H72…

hisi3559av100 烧录镜像

打开hisi提供的hisitool工具&#xff0c;选择hiburn选项&#xff0c;选择对应芯片型号。 一、emmc烧写uboot 和kernel&#xff0c;fs&#xff0c; 设置如下&#xff1a;我的文件大小设置如下勾选烧录uboot&#xff0c;kernel&#xff0c;fs&#xff0c;可以选择串口烧录&…

STM32F103RBT6移植到STM32F103C8T6注意事项

http://www.cnblogs.com/vonly/p/7411169.html 1,修改IC为STC32F103C8 2,修改晶振为8.0M 3,修改C/C宏定义,由STM32F10X_HD,USE_STDPERIPH_DRIVER 改为 STM32F10X_MD,USE_STDPERIPH_DRIVER 4,替换启动文件,由startup_stm32f10x_hd.s修改为startup_stm32f10x_md.s 到这里已经没有…

stm32f103中断总结很详细

一、NVIC 介绍 NVIC 英文全称是 Nested Vectored Interrupt Controller&#xff0c;中文意思就是嵌套向量中断控制器&#xff0c;它属于 M3 内核的一个外设&#xff0c;控制着芯片的中断相关功能。由于 ARM 给 NVIC 预留了非常多的功能&#xff0c;但对于使用 M3 内核设计芯片…

零基础入门单片机stm32定时器详解

一、基本定时器介绍 在STM32中&#xff0c;基本定时器有TIM6、TIM7等。基本定时器主要包含时基单元&#xff0c;提供16位的计数&#xff0c;能计数0~65535。基本定时器除了计数功能以外&#xff0c;还能输出给DAC模块一个TRGO信号。基本定时器框图如下&#xff1a; 二、时基单…

嵌入式端的神经网络算法部署和实现综合

嵌入式端的神经网络算法部署和实现 介绍关于 ARM NN、CMSIS NN 和 K210 等嵌入式端的神经网络算法的部署和实现。神经网络的调教&#xff08;训练&#xff09;还是在 PC 端&#xff0c;神经网络参数训练好之后&#xff0c;在嵌入式端进行部署&#xff08;本文的中心&#xff0…

【直达本质】超快 STM32 系统入门指南

计算机类的教程多&#xff0c;框架和 API 用法讲的全面&#xff0c;成系统的教程很多&#xff0c;CSDN上、知乎上很多个人学习记录和全面的成书的教程&#xff0c;而且生动形象有甚至有动画讲解&#xff0c;回过头来看嵌入式的教程&#xff0c;又臭又长。本文 Github 地址。 两…

stm32之独立看门狗与窗口看门狗总结

一、独立看门狗 STM32 的独立看门狗由内部专门的 40Khz 低速时钟驱动&#xff0c;即使主时钟发生故障&#xff0c;它也仍然有效。 看门狗的原理&#xff1a;单片机系统在外界的干扰下会出现程序跑飞的现象导致出现死循环&#xff0c;看门狗电路就是为了避免这种情况的发生。看…

Esp8266 设置透传模式

什么是透传 当DTU &#xff08;DTU&#xff08;Data Transfer unit&#xff09;是指数据传输单元&#xff0c;是专门用于将串口数据转换为IP数据或将IP数据转换为串口数据通过无线通信网络进行传送的无线终端设备&#xff09; 工作在SerialNet模式时&#xff0c;在设备串口与网…

通用定时器中断的实现

定时器中断实现步骤 1.使能定时器时钟。 RCC_APB1PeriphClockCmd(); 2.初始化定时器&#xff0c;配置ARR,PSC。 TIM_TimeBaseInit(); 3.开启定时器中断&#xff0c;配置NVIC。 void TIM_ITConfig(); NVIC_Init(); 4.使能定时器。 TIM_Cmd(); 5.编写中断服务函数。 TIMx_IRQHand…

stm32直流电机驱动与测速

说实话就现在的市场应用中stm32已经占到了绝对住到的地位&#xff0c;51已经成为过去式&#xff0c;32的功能更加强大&#xff0c;虽然相应的难度有所增加&#xff0c;但是依然阻止不了大家学习32的脚步&#xff0c;不说大话了这些大家都懂要不然也不会学习stm32的人那么多!!! …

单片机STM32开发中常用库函数分析

1.GPIO初始化函数 用法&#xff1a; voidGPIO_Configuration(void) { GPIO_InitTypeDefGPIO_InitStructure;//GPIO状态恢复默认参数 GPIO_InitStructure.GPIO_PinGPIO_Pin_标号|GPIO_Pin_标号; //管脚位置定义&#xff0c;标号可以是NONE、ALL、0至15。 GPIO_InitStruct…

BLE低功耗蓝牙基础配置

协议栈的基本框架 需要一个支持BLE射频的芯片&#xff0c;然后还需要提供一个与此芯片配套的BLE协议栈&#xff0c;最后在协议栈上开发自己的应用。 其中主要讲述协议栈的组成与功能&#xff1a; PHY层&#xff08;物理层&#xff09;。PHY层用来指定BLE所用的无线频段&#xf…

ST芯片使用串口 + DMA接收 + 空闲中断处理,有USART1和LPUART

普通串口&#xff1a;USART1 首先是DMA初始化 //DMA初始化 void MX_DMA_Init(void) {/* Init with LL driver *//* DMA controller clock enable */LL_AHB_EnableClock(LL_AHB_PERIPH_DMA); /* DMA interrupt init *//* DMA_IRQn interrupt configuration */NVIC_SetPriorit…

C语言STM32中时间戳的简单配置

头文件&#xff1a;#include "time.h" 在time.h文件中找到&#xff1a;struct tm {int tm_sec; /* seconds after the minute, 0 to 60(0 - 60 allows for the occasional leap second) */int tm_min; /* minutes after the hour, 0 to 59 */int tm_hour; /* ho…

STM32F407使用串口3获取攀腾G7的PM2.5数据

STM32F407使用该程序主要是复用串口3用来接收PM2.5所发出的数据&#xff0c;然后获取所需要的pm2.5的值&#xff0c;引脚接口为pb11和pb10,5v电压。 u8 USART3_RX_BUF[USART3_REC_LEN]; u16 USART3_RX_STA0; u16 flag30; void uart3_init(u32 bound){GPIO_InitTypeDef GPIO_I…

GPS从入门到放弃(十六)、卫星时钟误差和卫星星历误差

一、卫星时钟误差 GPS卫星时钟误差&#xff08;简称卫星钟差&#xff09;是指GPS卫星时钟与GPS标准时间之间的差值。尽管GPS卫星采用了高精度的原子钟来保证时钟的精度&#xff0c;具有比较长期的稳定性&#xff1b;但原子钟依然有频率偏移和老化的问题&#xff0c;导致它们与…

单片机stm32你了解多少

stm32作为现在嵌入式物联网单片机行业中经常要用多的技术&#xff0c;相信大家都有所接触&#xff0c;今天这篇就给大家详细的分析下有关于stm32的出口&#xff0c;还不是很清楚的朋友要注意看看了哦&#xff0c;在最后还会为大家分享有些关于stm32的视频资料便于学习参考。 什…

STM32F429IG之UCOSIII移植

准备 1.F429工程模板&#xff08;我用的是我平时的&#xff09; 2.ucosiii源码&#xff0c;官网下载的 一&#xff0c;创建 根据个人习惯创建四个文件夹 APP&#xff1a; CPU&#xff1a; LIB&#xff1a; PORTS&#xff1a; 好了&#xff0c;然后添加到工程。 二&#xf…

R7 STM32 时钟总结

时钟总结 在STM32中&#xff0c;有五个时钟源&#xff0c;为HSI、HSE、LSI、LSE、PLL。来源 ①、HSI是高速内部时钟&#xff0c;RC振荡器&#xff0c;频率为8MHz。 ②、HSE是高速外部时钟&#xff0c;可接石英/陶瓷谐振器&#xff0c;或者接外部时钟源&#xff0c;频率范围为…

嵌入式物联网之SPI接口原理与配置

本实验采用W25Q64芯片 W25Q64是华邦公司推出的大容量SPI FLASH产品&#xff0c;其容量为64Mb。该25Q系列的器件在灵活性和性能方面远远超过普通的串行闪存器件。W25Q64将8M字节的容量分为128个块&#xff0c;每个块大小为64K字节&#xff0c;每个块又分为16个扇区&#xff0c;…

GPS从入门到放弃(四)、GPS信号结构

GPS信号结构 &#xff08;三层&#xff09; 载波伪码数据码一&#xff0c;载波 载波是三层的基础&#xff0c;伪码和数据码都是调制在载波上才能发送。GPS有两个载波频率&#xff0c;L1和L2&#xff0c;L1为1575.42MHz&#xff0c;L2为1227.60MHz。我们常用的主要是L1载波。根…

USB转串口电路—电源与防倒灌设计

USB转串口芯片和串口负载&#xff08;MCU、CPU、其他串口外设等&#xff09;的供电方式可以分为2个大类&#xff1a;统一供电和独立供电。 一、供电说明 统一供电是指USB芯片和串口负载使用同一电源&#xff0c;上下电同步&#xff0c;此时不会存在彼此之间电流倒灌的问题。 …

STM32中的printf重定向uart1串口输出

标准库与HAL库实现重定向到串口 在STM32测试串口的时候&#xff0c;需要在开发板和上位机之间传输数据&#xff0c;可以用c语言中的printf()函数简化传输。把要printf的东西选择一个通道print出去,可能是UART0,UART1,或者虚拟的UART。 NOTES: 1.调用printf需先导入stdio.h头文件…

STM32 UDS Bootloader开发-下位机篇-App软件

文章目录 前言链接文件APP软件检查预编程条件停止DTC设置禁止无关通讯定义APP_INFO调试输出hex处理 总结 前言 在之前的文章中&#xff0c;介绍了STM32 UDS Bootloader开发需求和bootloader软件开发的修改点。本文继续介绍APP软件关于UDS的部分。APP主要实现预编程阶段的部分内…

STM32CubeMX开发环境搭建及示例

今天在调试足底压力设备时出现了一个问题&#xff0c;就是DMA1_Channel1和MPU6050冲突&#xff0c;只要一使用DMA1_Channel1陀螺仪的I2C就出现问题&#xff0c;进入到HardFault_Handler的循环&#xff0c;具体的会再整理一篇博客。这里觉得是I2C的问题&#xff0c;再查资料的时…

STM32 JNTRST JTDI JTDO引脚用做普通IO方法

STM32默认启动时PB4、PB3、PA15三个引脚不是普通IO&#xff0c;而是JTAG的复用功能&#xff0c;分别为JNTRST、JTDI、JTDO。 当我们试用SWD接口调试仿真时&#xff0c;这三个引脚可以用作普通IO。 具体做法就是禁用JTAG功能。只需使用以下语句即可。 // //文件名称&#xff1…

串口DMA方式发送接收

串口DMA方式收发 笔者使用的是STM32F407VET6&#xff0c;共包含6路串口&#xff0c;页尾处程序已将全部串口的DMA收发配置完成&#xff0c;本文仅以串口1为例进行讲解。&#xff08;查看代码可直接跳至第二节或页尾处下载&#xff09; 1 STM32F4 DMA 简介 DMA&#xff0c;全称…

STM32-IAP

STM32的IAP跳转相关bug经历 STM32在线升级中断向量重定向深度剖析 一次更新CubeMX中的Firmware Pakage导致的问题 问题描述 昨天打开.ioc文件改下STM32F4的芯片一些配置时&#xff0c;发现有新的固件包可以用&#xff0c;于是想着越新越好&#xff0c;就手抖更新下工程的固件…

讲解STM32驱动WS281x灯珠的多种实现方式:普通IO、SPI+DMA、以及PWM+DMA驱动方法

STM32作为一款高性能、功能丰富的单片机&#xff0c;其丰富的外设和强大的性能&#xff0c;使其在嵌入式领域得到了广泛的应用。本篇文章将介绍如何利用STM32驱动WS281x系列的LED灯珠。我们会使用三种不同的驱动方式进行实现&#xff1a;一种是普通IO方式驱动&#xff0c;一种是…

51单片机通过串口打印调试信息。

1、实验目的 有时候单片机程序运行的时候我们不知道当前程序行某个变量的数据是多少&#xff0c;我们想像学习C语言的时候&#xff0c;打印出来信息&#xff0c;比如变量的值等等。 这里就是起到这个调试作用。 但是&#xff0c;要注意变量范围。是全局变量&#xff0c;还是局部…

EXTI中断程序编写

EXTI中断程序编写总结 - EXTI中断主要涉及到AFIO、EXTI、NVIC以及GPIO这几个寄存器 EXTI中断的具体编写步骤&#xff1a; 先初始化与EXTI中断相关的GPIO寄存器&#xff0c;即欲将哪个GPIO端口设置为中断响应初始化EXTI寄存器&#xff0c;用于中断或者事件的产生。 先绑定输…

系统时钟滴答计时器(sysTick寄存器)相关初始化结构体即初始化固件库函数分析

系统时钟计时器–SYSTICK sysTick工作原理&#xff1a; - sysTick 中断通过获取系统时钟&#xff08;AHB 或者 AHB/8&#xff09;,通过重装载寄存器向递减计数器中存入初值&#xff0c;当递减计数器值为0时&#xff0c;触发相关的中断&#xff0c;我们也可以通过访问 SysTick…

使用GCC和FreeRTOS开发STM32

特点 使用 FreeRTOS 和 libopencm3 开发STM32 多任务应用程序学习如何使用 GCC 下载和设置 libopencm3 FreeRTOS 开发环境利用 FreeRTOS 创建任务、队列和互斥锁学习使用 I2C 总线使用 PCF8574 芯片添加 GPIO使用硬件定时器为 RC 控制创建 PWM 输出使用外部 Winbond W25Q32 闪…

Linux 串口编程(C语言)

简述&#xff1a;串口的基本操作包括读和写&#xff0c;需要设置好串口的属性&#xff0c;包括串口的波特率&#xff0c;数据位&#xff0c;奇偶校验&#xff0c;停止位等内容。串口设备文件&#xff1a;/dev/ttySn&#xff0c;如果是USB扩展出来的则为/dev/ttyUSBn包含头文件&…

嵌入式单片机学习之串口接收数据实例

//通过判断接收连续2个字符之间的时间差不大于10ms来决定是不是一次连续的数据. //如果2个字符接收间隔超过10ms,则认为不是1次连续数据.也就是超过10ms没有接收到 //任何数据,则表示此次接收完毕. //接收到的数据状态 //[15]:0,没有接收到数据;1,接收到了一批数据. //[14…

通过串口利用printf函数输出数据

一。printf函数格式 printf函数具有强大的输出功能 %表示格式化字符串输出 目前printf支持以下格式的输出&#xff0c;例如&#xff1a; printf("%c",a);输出单个字符。 printf("%d",a);输出十进制整数。 printf("%f",a);输出十进制浮点数…

stm32f103系列引脚定义-功能图

器件功能和配置(STM32F103xx增强型) STM32F103xx增强型模块框架图 STM32F103xx增强型VFQFPN36管脚图 STM32F103xx增强型LQFP100管脚图 STM32F103xx增强型LQFP64管脚图 STM32F103xx增强型LQFP48管脚图 STM32F103xx增强型BGA100管脚图 STM32F103xx系列各个管脚名称定义、功能&…

小松的STM32教程(13)—— 串口

注意时钟函数和使能时钟函数&#xff1a;USART1和USART2是不一样的 注意USART和UART的配置不一样 引脚复用 串口TXDRXDUSART1A9A10USART2A2A3USART3B10B11UART4C10C11UART5C12D2 引脚模式 引脚模式TXGPIO_Mode_AF_PPRXGPIO_Mode_IN_FLOATING 重定向&#xff08;cubeide&…

小松的STM32教程(9)—— 定时器中断

预备 学习目标 概述 我对原子的代码进行了一些修改&#xff0c;屏蔽了一些不必要的细节&#xff0c;以下函数会每隔500ms产生一个中断&#xff0c;可以自行在中断函数中定义中断行为 时钟输入 输入说明内部时钟的倍频我们在代码中没有进行配置时候的默认选择外部时钟&#x…

stm32f103c8开发板

stm32f103c8串口下载

STM(X)Flash 和SRAM

概述 FLASHSRAM类别EEPROMRAM特点掉电保存掉电失去读取速度慢很快和程序的关系存储程序数据及常量数据或变量数据&#xff0c;c文件及h文件中所有代码、全局变量、局部变量、‘const’限定符定义的常量数据、startup.asm文件中的代码它主要用来存储程序中用到的变量。凡是整个…

STM32(X) RTC时钟

概述 RTC&#xff08;Real Time Clock&#xff09;是实时时钟BKP区域由备份电源供电&#xff0c;所以实时时钟可以使用这一区域保证当断电的时候时钟不会中断以下代码只需要看初始化代码和RTC设置代码的最后几行注意有些操作需要先完成才能进行下一操作&#xff08;这也是为什…

stm32与linux通过protobuf打包数据并进行通信

一、利用protobuf通信原理 最近项目中需要用到stm32与Orange Pi&#xff08;移植了linux&#xff09;进行数据交互&#xff0c;H6端是用C编写的串口底层驱动&#xff0c;与stm32的串口连接并通信。串口间的通信协议定为采用protobuf打包数据并通过串口发出的形式&#xff0c;即…

STM32输出一定个数占空比可调的单脉冲信号

软件环境&#xff1a;Keil5 硬件环境&#xff1a;STM32F103C8T6 最近有个项目需要用到STM32F0产生一定数量不同占空比的单脉冲信号&#xff0c;初步构思了一下。以前配置一些传感器即根据时序图写脉冲序列就是用简单的延时模拟单个脉冲信号&#xff0c;这种方法在工程项目中太…

析五大适合stm32的嵌入式操作系统

基于STM平台且满足实时控制要求操作系统&#xff0c;有以下5种可供移植选择。分别为μClinux、μC&#xff0f;OS-II、eCos、FreeRTOS和都江堰操作系统(djyos)。下面分别介绍这五种嵌入式操作系统的特点及不足。 1、μClinux μClinux是一种优秀的嵌入式Linux版本&#xff0c;其…

KEIL5-MDK配色方案

改字体 复制如下代码&#xff0c;替换原有文件内容 global.prop文件&#xff1a;D:Keil_v5\UV4\global.prop # properties for all file types indent.automatic1 virtual.space0 view.whitespace0 view.endofline0 code.page0 caretline.visible0 highlight.matchingbraces1 …

STM32读取和显示温湿度

介绍 本教程全部涉及使用DHT11和STM32单片机进行湿度和温度监控。 DHT11是一种湿度和温度传感器&#xff0c;用于测量特定环境或密闭空间中的大气温度和湿度。 传感器可以测量0C至50C的温度&#xff0c;精度为1C。 它可以测量20&#xff05;至90&#xff05;的湿度&#xff0c…

Arduino IDE将FreeRTOS用于STM32

介绍 适用于STM32F103C8的FreeRTOS STM32F103C是一种能够使用FreeRTOS的ARM Cortex M3处理器。 我们直接在Arduino IDE中开始使用STM32F103C8的FreeRTOS。 我们也可以使用Keil&#xff0c;但是在这种情况下&#xff0c;我们需要下载文件并将其粘贴到Keil路径中&#xff0c;与…

STM32连接TFT-LCD

通过SPI通信在STM32上使用TFT LCD显示器 硬件 软件 介绍 我正在使用的LCD是带有SPI通讯的2.8英寸TFT LCD。 在本文&#xff0c;将集中讨论如何在2.8英寸LCD上显示所需内容。 您可以从以下页面找到有关此LCD的所有详细信息&#xff1a; 因为没有太多关于将这种LCD与STM32板…

STM32F030R8Tx HAL库实现RTC 1秒中断

工程准备&#xff0c;在以下链接工程的基础上添加的RTC功能 https://blog.csdn.net/mygod2008ok/article/details/106750657 在工程中添加stm32f0xx_hal_rtc.c 在stm32f0xx_hal_conf.h中打开HAL_RTC_MODULE_ENABLED宏 新建BSP_rtc.c和BSP_rtc.h文件并加入工程 初时化RTC,由于使…

STM32 --- 使用内部FLASH存储数据

本文记录了对一些知识点的理解、操作方法&#xff0c;如有错误&#xff0c;请务必批评指正&#xff01;&#xff01; 最终的测试截图&#xff1a; 目录​ 一、内部FLASH要点 关于地址&#xff1a; 关于解锁&#xff1a; 关于擦除&#xff1a; 关于写入&#xff1a; 二…

STM32外部中断---EXTI+NVIC的理解

STM32中断_NVIC_EXTI_资料汇总整理 ~~*建于:2019年3月28日,逐步更新完善,哈*~ 几位前辈的参考文章,讲得很好, 重点理解: 中断 配置 步骤NVIC 优先级理解 NVIC和中断的总结外部中断定时器中断_例子注释外部中断___例子注释 之前使用函数库实现,如履雾中,走了很多弯路。然后,…

7 拓展中断_事件控制器(EXTI)(STM32HAL库 )

目录 EXTI-扩展中断和事件控制器 事件的概念 EXTI-扩展中断和事件控制器 EXTI外设框图 F1/F4/F7&#xff08;看懂与或门&#xff09; H7 STM32CubeMX中的EXTI配置 EXTI-扩展中断和事件控制器 事件的概念 STM32上许许多多的外设&#xff0c;是通过内部信号来协同工作的。…

【单片机】STM32单片机的各个定时器的定时中断程序,标准库,STM32F103

文章目录 定时器1_定时中断定时器2_定时中断定时器3_定时中断定时器4_定时中断定时器5_定时中断 高级定时器和普通定时器的区别&#xff08;https://zhuanlan.zhihu.com/p/557896041&#xff09;&#xff1a; 定时器1_定时中断 TIM1是高级定时器&#xff0c;使用的时钟总线是R…

STM32-SPI通讯使用NORFLASH案例

在本次实验中&#xff0c;我们使用nor flash进行我们的SPI案例的实验 相关步骤如下&#xff1a; 1、初始化相关GPIO&#xff0c;SPI初始化&#xff08;模式。位数&#xff0c;分频&#xff0c;MSB等&#xff09;&#xff1b; 2、通过0x03指令24位地址读取数据&#xff0c;读…

78、基于STM32单片机步进电机速度调速控制系统设计(程序+原理图+PCB源文件+参考论文+开题报告+流程图+元器件清单等)

摘 要 伴随着时代的快速发展&#xff0c;单片机的应用也越来越广泛&#xff0c;促进了微电子和计算机的快速发展。我们日常生活中步进电机扮演着很重要的角色在我们身边随处可以见。因为步进电机本身的结构组成相对于比较简单、价格也比较便宜廉价。比如压榨机&#xff0c;打印…

零基础学习单片机必看的一些知识点

在工作中和在校的同学的交流中&#xff0c;听到的最多的是“单片机太难了”。其实不是单片机难学&#xff0c;而是不知道怎么入门。请大家记住一句话“单片机不是学出来的&#xff0c;而是玩出来的”。下面结合自己自学单片机的体会&#xff0c;和大家一起来“玩”单片机。准备…

STM32之CAN系列经验总结

CAN是Controller Area Network的缩写&#xff0c;由德国博世公司开发&#xff1b;CAN通过ISO11891以及ISO11519进行了标准化&#xff1b; CAN总线的特点&#xff1a; 1、多主控制 在总线空闲时&#xff0c;所有单元都可以开始发送消息&#xff08;多主控制&#xff09;&#x…

STM32实例-蜂鸣器实验

本章我们通过另外一个实验来讲述 STM32 IO 口的输出。通过 STM32F1 芯片的一个 IO口控制板载有源/无源蜂鸣器&#xff0c;实现蜂鸣器控制。 蜂鸣器介绍 蜂鸣器是一种一体化结构的电子讯响器&#xff0c;采用直流电压供电&#xff0c;广泛应用于计算机、打印机、复印机、报警器、…

小船过河问题解析(过度解析)

一开始输入一个数组a和一个数i&#xff08;i代表人数&#xff09; 然后用冒泡排序从小到大进行排列&#xff0c;存放在数组a中&#xff08;按照从小到大的顺序进行排列的目的是为了两种解决方案的实行&#xff09; dp[i]中存放的数据代表的意思就是当有i个人过河时&#xff…

如何安装STM32CubeMax

一.登录官网&#xff0c;并提交邮箱信息 二.然后你将会在邮箱收到一封下载信&#xff0c;点击下载即可 三.解压点击SetupSTM32CubeMX-5.6.0.exe 然后问题就来了&#xff0c;他提醒你没有装java runtime &#xff08;This application requires a java Runtime Environment 1.8…

快速电子体温计PCBA方案

一、快速体温计方案开发原理 快速体温计的工作原理利用了温度传感器输出电信号&#xff0c;直接输出数字信号或者再将电流信号(模拟信号)转换成能够被内部集成的电路识别的数字信号&#xff0c;然后通过显示器(如液晶、数码管、LED矩阵等)显示以数字形式的温度&#xff0c;能记…

STLINK下载程序出现cannot access target. shutting down debug session问题解决的一般步骤

1. 更换STLINK的接线 不要觉得只有USB接口线会出问题&#xff0c;排线也有可能出问题。 2. 是否为端口冲突 通过上网搜索得知&#xff0c;查找代码是不是使用了下载的接口 PB3和PB4 I/O口&#xff0c;如果使用了&#xff0c;初始化了的话&#xff0c;需要改为其他 IO 口 …

嵌入式实时操作系统介绍及其STM32实践

特点 实时操作系统 (RTOS) 用于开发在严格时间线内响应事件的系统首先介绍了 RTOS 的概念&#xff0c;并比较了一些其他实现实时性能的替代方法了解了任务、队列、互斥体和信号量等基本原理&#xff0c;以及微控制器选型和开发环境选择使用 STM32F7 Nucleo 板、STM32CubeIDE 和…

FreeRTOS移植Error: L6218E: Undefined symbol xTaskGetSchedulerSt

#ifndef INCLUDE_xTaskGetSchedulerState#define INCLUDE_xTaskGetSchedulerState 1 #endif

FreeRTOS移植Error: L6218E: Undefined symbol xTaskGetCurrentTaskHandle (referred from stream_buffer.o).

错误提示&#xff1a; 修改前 修改后 #ifndef INCLUDE_xTaskGetCurrentTaskHandle#define INCLUDE_xTaskGetCurrentTaskHandle 1 #endif

STM32(或其他MCU)如何向SD卡、U盘读写Excel表格数据

1&#xff0c;直接上方法 在程序中将 待保存的数据 以“.csv”格式&#xff08;.csv一种特殊纯文本文本&#xff0c;同操作.txt方式一样&#xff09; 存储到 SD卡&#xff0c;U盘中&#xff01;再将 SD卡&#xff0c;U盘插入电脑中 将 CSV格式文件 另存为 Excel 的 xls 格式。…

vt-d 基本操作流程

操作步骤 1检查主板是否支持vt-d 检查主板是否能够支持vt-d虚拟化技术 1.1通过cpuid 1.2判断cr0 cr4 1.3 msr 3ah地址读取bit 0 1.4 分配一个4k的物理地址给vmxon参数开启vmx。vmx前32位地址需要写入版本号不然报错 vmcs virtual machine control structures 在vmlaunch…

STM32添加以太网连接

Nucleo生态系统的一个缺点是缺乏带有以太网连接的版本或ST官方支持的专用防护板。 共有90种不同的STM32 MCU提供以太网MAC接口&#xff08;这意味着仅需一个外部以太网收发器&#xff08;也称为phyter&#xff09;&#xff0c;而将MCU引入物联网世界所需的其他条件很少&#xf…

STM32 IAP 在线升级详解

看到两篇干货满满的文章&#xff0c;在这里一定要分享让更多人知道 传送门 神秘门① - STM32 IAP 在线升级详解神秘门② - STM32IAP方案 实现网络升级应用固件

stm32f103zet6定时器和pwm简单驱动步进电机

驱动步进电机 文章目录驱动步进电机前言一、两种方式的对比二、第一种方式定时器设置对某个IO进行自动翻转1.定时器TIM3初始话2.输出PWM来驱动步进电机总结前言 提示&#xff1a;正如所说简单驱动步进电机&#xff0c;这里使用的定时器方式是很简单的&#xff0c;用一个设置一…

一些宏定义

一些宏定义 /************************************************************ Copyright (C), 2013-2021 FileName: my_type.h Author : 祥子 QQ:570525287 Version : 2.0 Date : 2021-1-5 Description: Function List: History : <author> <time> <…

在进行USB CDC类开发时,无法发送64整数倍的数据(续)

1 前言 此文延续之前相同文章的话题&#xff0c;是对上篇文章的补充&#xff0c;之所以会有此文&#xff0c;主要是之前发现问题是在STM32F4上&#xff0c;解决方案也是基于CubeF4&#xff0c;但是&#xff0c;当相同问题出现在STM32F0上时&#xff0c;使用之前的代码修改并不…

如何使用CubeMx生成一个DFU工程

1 前言 DFU用来做IAP是很方便的&#xff0c;可以直接通过USB来对APP进行升级&#xff0c;因此&#xff0c;掌握DFU的制作还是挺有好处&#xff0c;特别是使用CubeMx工具可以快速制作&#xff0c;本文将基于STM3240G-EVL评估板来一步一步实现一个DFU的IAP工程。 2 制作CubeMx工…

STM32F030低温下RTC不工作

1 前言 客户反馈在批量生产阶段&#xff0c;发现部分产品的MCU的RTC在低温&#xff08;0℃&#xff09;下工作不正常&#xff0c;但是在常温下又是正常的&#xff0c;且其他正常的MCU的RTC在常温与低温下都是正常的。 2 问题跟进与分析 通过与客户邮件沟通&#xff0c;了解到…

使用火焰传感器和Arduino制作火灾探测器

在本篇文章中&#xff0c;我们将火焰传感器与Arduino进行连接。简单地说&#xff0c;我们将使用火焰传感器和Arduino设计火灾探测器来检测火灾。传感器可以检测出从火焰中发出的760 nm – 1100 nm&#xff08;纳米&#xff09;红外光。大部分火焰传感器使用的是YG1006传感器&am…

STM32 Proteus UCOSII系统水塔鱼缸水位控制系统-0052

STM32 Proteus UCOSII系统水塔鱼缸水位控制系统-0052 Proteus仿真小实验&#xff1a; STM32 Proteus UCOSII系统水塔鱼缸水位控制系统-0052 功能&#xff1a; 硬件组成&#xff1a;STM32F103R6单片机 LCD1602显示器多个按键&#xff08;注水、排水&#xff09;驱动电路电位…

智能家居系统设计(裸机stm32/μCOS-III)

智能家居系统设计[裸机stm32/μCOS-III]​  在正式讲解之前&#xff0c;先来总结一下。该项目是对大学学过的部分知识进行整合&#xff0c;同时这也是我大学的毕业设计&#xff0c;也算是对大学的一个交待。 ​  首先来讲述一下该项目具体实现了哪些功能&#xff0c;方便大…

stm32利用oled显示屏与按键进行PID参数调节实现人机交互

stm32利用oled显示屏与按键进行PID参数调节实现人机交互上一个学期在学校做四轮车利用PID实现直线走&#xff0c;在调试过程中&#xff0c;我之前是每改一个参数就下载程序进控制板进行调试&#xff0c;观察车是否偏移来确定pid参数&#xff0c;但是后来我发现这样的效率很慢&a…

DHT11温湿度传感器实验

DHT11 是一款湿温度一体化的数字传感器。该传感器包括一个电阻式测湿元件和一个 NTC 测温元件&#xff0c;并与一个高性能 8 位单片机相连接。通过单片机等微处理器简单的电路连接就能够 实时的采集本地湿度和温度。DHT11 与单片机之间能采用简单的单总线进行通信&#xff0c;仅…

I^2C通讯实验

I2C (IIC,Inter-Integrated Circuit),两线式串行总线,由PHILIPS公司开发用于连接微控制器及其外围设备。它是由数据线SDA和时钟SCL构成的串行总线,可发送和接收数据。在CPU与被控IC之间、IC与IC之间进行双向传送,高速IIC总线一般可达400kbps以上。 IIC是半双工通信方式。…

基于STM32设计的汽车修理厂环境监测系统(华为云IOT)

一、前言 生产车间的环境质量监测仅靠传统的手持式仪器仪表及手工记录无法保证数据的即时性和准确性。因此,设计并实现了一种综合传感器技术、无线通信技术和移动应用开发技术的车间环境质量监测系统。 本系统以STM32为主控芯片,外接各种传感器模块采集环境信息,并将采集数…

esp8266学习记录

前言 本文主要介绍了esp8266固件的烧写和基本AT指令&#xff0c;然后结合stm32开发板实现三个实验。分别是手机远程控制开发板LED&#xff1b;开发板温度传感器数据和光强上传到云平台实时监控&#xff1b;从云平台获取数据来控制开发板板载资源。每个实验我都保留了工程源和效…

visual studio 无法打开源文件 “xxx.h“ 的解决办法

1、问题 导入一个新的工程&#xff0c;编译后报错&#xff1a;无法打开源文件 “xxx.h” 错误原因&#xff1a;没有导入该头文件&#xff0c;或者工程没包含包含该头文件的目录。 2、解决办法 配置导入头文件包含目录&#xff0c;点击项目->属性 进入属性页&#xff1a; …

TIM_SetCompare2()

对于**void TIM_SetCompare2(TIM_TypeDef TIMx,uint16_t Compare2)***的理解 void TIM_SetCompare2(TIM_TypeDef* TIMx,uint16_t Compare2) { /*Check the parameters */ assert_param(IS_TIM_LIST6_PERIPH(TIMx)); /*Set the Capture Compare2 Register value */ TIMx->C…

FLASH模拟EEPROM实验

FLSH操作总结&#xff1a; 1&#xff0c; 锁定解锁函数 上面讲解到在对FLASH进行写操作前必须先解锁&#xff0c;解锁操作也就是必须在FLASH_KEYR寄存器写入特定的序列&#xff08;KEY1和KEY2&#xff09;,固件库函数实现&#xff1a; void FLASH_Unlock(void)&#xff1b;C…

FLASH闪存编程原理与步骤

STM32 FLASH操作介绍&#xff1a; STM32编程方式&#xff1a; 1&#xff0c;在线编程&#xff08;ICP,In-Circuit Programming&#xff09;: 通过JTAG/SWD协议或者系统加载程序(Bootloader)下载用户应用程序到微控制器中。 2&#xff0c; 在程序中编程(IAP,In Application …

定时器PWM输出实验

通用定时器PWM概述&#xff1a; STM32 PWM工作过程&#xff1a; TIMx比CCRx小时&#xff08;如0-t1&#xff09;输出低电平&#xff0c;比CCRx大时&#xff08;如t1-t2&#xff09;输出高电平。 通道一为例&#xff1a; CCR1:捕获比较(值)寄存器&#xff08;x1,2,3,4):设置比较…

最简单DIY基于STM32的远程控制电脑系统②(无线遥杆+按键控制)

STM32库函数开发系列文章目录 第一篇&#xff1a;STM32F103ZET6单片机双串口互发程序设计与实现 第二篇&#xff1a;最简单DIY基于STM32单片机的蓝牙智能小车设计方案 第三篇&#xff1a;最简单DIY基于STM32F407探索者开发板的MPU6050陀螺仪姿态控制舵机程序 第四篇&#xff1…

STM32F103ZET6单片机双串口互发程序设计与实现

STM32库函数开发系列文章目录 第一篇&#xff1a;STM32F103ZET6单片机双串口互发程序设计与实现 文章目录STM32库函数开发系列文章目录前言一、STM32F103ZET6单片机双串口互发程序设计与实现是什么&#xff1f;二、使用步骤1.下载程序2.测试步骤和程序说明总结前言 daodanjish…

STM32单片机的学习方法(方法大体适用所有开发版入门)

1&#xff0c;一款实用的开发板。 这个是实验的基础&#xff0c;有时候软件仿真通过了&#xff0c;在板上并不一定能跑起来&#xff0c;而且有个开发板在手&#xff0c;什么东西都可以直观的看到&#xff0c;效果不是仿真能比的。但开发板不宜多&#xff0c;多了的话连自己都不…

【福利】嵌入式物联网STM32 驱动方面的学习视频

http://www.makeru.com.cn/live/1392_303.html?s60220走进嵌入式 http://www.makeru.com.cn/live/1392_308.html?s60220嵌入式开发职业规划 http://www.makeru.com.cn/live/1392_401.html?s60220 嵌入式行业发展跟现状分析 http://www.makeru.com.cn/live/1392_450.html?s6…

物联网嵌入式STM32资料大全,超100G

物联网嵌入式STM32资料大全&#xff0c;超100G 本文是提供物联网&#xff0c;嵌入式&#xff0c;stm32等资料大全的&#xff0c;都是各类资料大全&#xff0c;下面图片只是网盘链接的截图&#xff0c;已经是有生之年系列了&#xff0c;别要求那么高了&#xff0c;自己到闯客网…

STM32F1和F4实现RS485简单双向通信

学习总线时曾经要求实现RS485通信&#xff0c;恰好跟前有两块带RS485的stm32开发板于是就简单实现了下。 先说下实现的功能&#xff0c;一块STM32F103板和STM32F407板通过RS485总线连接&#xff0c;F103板子采集温度发给F407屏幕显示出来&#xff0c;F407能够控制F103板子上的…

STM32F103使用DHT11采集温湿度通过软件I2C驱动OLED显示

一.温湿度传感器介绍1.主要参数供电电压&#xff1a;3.3 - 5.5V直流电输出为单总线数字信号温度测量范围0-50度&#xff08;精度正负2度&#xff0c;分辨率1度&#xff09;湿度测量范围为20-90%RH&#xff08;精度为正负5%&#xff0c;分辨率1%&#xff09;2.硬件连接 模块的VC…

GPIO模拟串口TX与RX,波特率115200

串口协议的简单介绍&#xff1a;UART使用异步模式工作&#xff0c;不需要时钟信号&#xff0c;其一般格式为&#xff1a;起始位数据位校验位停止位。其中起始位1位&#xff0c;数据位5~8位&#xff0c;校验位0或1位&#xff0c;停止位1、1.5或2位。不过最常用的格式是1位起始位…

FreeRTOS的软件定时器配置与使用

配置FreeRTOSConfing.h文件 #define configTICK_RATE_HZ ( ( TickType_t ) 1000 ) //计数时间周期1ms #define configUSE_TIMERS 1 //开启定时器 #define configTIMER_TASK_PRIORITY ( configMAX_PRIORITIES - 1 ) //设置软件定时器优先级…

设置PWM占空比中TIM_SetCompare1,TIM_SetCompare2,TIM_SetCompare3,TIM_SetCompare4分别对应引脚和ADC通道对应引脚

这个函数TIM_SetCompare1&#xff0c;这个函数有四个&#xff0c;分别是TIM_SetCompare1&#xff0c;TIM_SetCompare2&#xff0c;TIM_SetCompare3&#xff0c;TIM_SetCompare4。位于CH1那一行的GPIO口使用TIM_SetCompare1这个函数,位于CH2那一行的GPIO口使用TIM_SetCompare2这…

通用定时器基本原理讲解

概述&#xff1a; STM32定时器&#xff1a;STM32F10x系列总共最多有8个定时器。 三种STM32定时器区别&#xff1a; 通用定时器功能特点描述&#xff1a; STM3 的通用 TIMx (TIM2、TIM3、TIM4 和 TIM5)定时器功能特点包括&#xff1a; 位于低速的APB1总线上(APB1)16 位向上、向…

串口通信实验

串口操作相关库函数&#xff08;省略入口参数&#xff09;&#xff1a; void USART_Init(); //串口初始化&#xff1a;波特率&#xff0c;数据字长&#xff0c;奇偶校验&#xff0c;硬件流控以及收发使能 void USART_Cmd();//使能串口 void USART_ITConfig();//使能相关中断vo…

NVIC中断优先级

一、STM32 NVIC 中断优先级管理 1&#xff0c;中断&#xff1a; CM3 内核支持 256 个中断&#xff0c;其中包含了 16 个内核中断和 240 个外部中断&#xff0c;并且具有 256 级的可编程中断设置。STM32 并没有使用 CM3 内核的全部东西&#xff0c;而是只用了它的一部分。STM3…

stm32——跑马灯实验(用库函数实现)

库函数介绍 1&#xff0c;初始化函数&#xff1a; void GPIO_Init(GPIO_TypeDef* GPIOx, GPIO_InitTypeDef* GPIO_InitStruct); 作用&#xff1a;初始化一个或者多个IO口&#xff08;同一组&#xff09;的工作方式和速度。该函数主要是操作GPIO_CRL(CRH)寄存器,在上拉或者下拉…

Arduino基础(三)——基础实验

目录&#xff1a; 1&#xff0c;语言基础:Arduino基础&#xff08;一&#xff09;——语言基础_SumubeiFZ的博客-CSDN博客 2&#xff0c;串口通讯&#xff1a;Arduino基础&#xff08;二&#xff09;——串口通讯_SumubeiFZ的博客-CSDN博客 3&#xff0c;基础实验操作&#xff…

SPI总线之“ 一主多从 “注意事项

SPI总线为最常用总线&#xff0c;但是不同厂家的SPI芯片或者不同的硬件电路&#xff0c;对于SPI的参数: &#xff08;1&#xff09;SPI的时钟频率设置&#xff0c;一般设置范围几K到几M不等&#xff0c;该频率决定SPI的时钟线和数据线的速率。 &#xff08;2&#xff09;SPI时…

STM32 Keil STlink调试总结

在Keil中使用STlink对STM32F103C8T6下载的时候&#xff0c;出现报错 Flash Timeout.Reset Target and try it again&#xff0c;如下图所示 仔细检查了对应的Debug->Setting中能够检测到STlink并且设置正确如下 Utility中也选择了正确的芯片和容量 后来经过搜索查看发现如果…

STM32的Hal库对于定时器TIM使用细则2

STM32的TIM->ARR寄存器实际上对应了两个寄存器&#xff1a;一个是用户可以写入或读出数据的寄存器&#xff0c;称为preload register(预装载寄存器)&#xff0c;也就是TIM->ARR。另一个是用户看不见的、但在操作中真正起作用的寄存器称为shadow register(影子寄存器)。当…

STM32关于FreeRTOS的移植

stm32f1系列的FreeRTOS移植一、源码处理文件下载文件移植创建任务并执行任务总结一、源码处理 文件下载 1、官网下载源码 2、找到相关的例程 3、进入源码文件 4、找到相关的文件 keil、RVDS为需要的文件&#xff0c;MemMang为需要的内存文件 文件移植 1、在之前led灯程…

函数调用过程中栈空间的变化

友链 参考链接&#xff1a; 这个ppt真心不赖 备份连接 第二部分&#xff1a; 我们首先要明白&#xff0c;在内存中&#xff0c;栈只是一个概念&#xff0c;它并不是实实在在存在的东西 他是由ss、ebp和esp这三个寄存器定义出来的 ss栈段寄存器定义了所谓的栈在内存中的范…

STM32F429移植STemWin,基于RA8875,SPI串口通讯。

目录一&#xff0c;创建二&#xff0c;改STemWin2.1 GUIConf.c //配置内存2.2 GUIConf.h2.3 LCDConf.c //配置图层 颜色等2.4 GUIDRV_Template.c //配置接口三&#xff0c;写接口3.1 emWin_App RA8875的底层显示驱动3.2 emWin_touch RA8875的底层触摸驱动3.3 MainTask STemWin的…

R2 STM32基本定时器之中断笔记-TIM

STM32基本定时器之中断笔记-TIM 野火的TIM章理解 - 基本定时器TIM6&#xff0c;TIM7配置&#xff1a; 要改的地方&#xff1a; 如&#xff1a; TIM_TimeBaseStructure.TIM_Period BASIC_TIM_Period;//配置1ms定时&#xff0c;ARR1000-1 >TIM_TimeBaseStructure.TIM…

MBD开发 STM32 UASRT

目录 轮询 ptintf 中断方式 DMA方式 轮询 串口要加入这两个文件 bug在于接到10个后会一直发送 ptintf function buffPtr convert(buff)if coder.target(Sfun)%固定句式%Executing in MATLAB, Buff is nullbuffPtr uint32(0); elsecoder.cinclude(getBuffPtr.h);%加入头…

modbus模拟设备工具ModRSsim的使用

以前使用比较多的modbus模拟工具是modbus slave&#xff0c;这个工具在模拟少数点位时还是比较好的&#xff0c;内容 清晰&#xff0c;设置也比较方便&#xff0c;界面友好&#xff0c;缺点则在于模拟的点数最多为2048&#xff0c; 使用modRSsim的好处则在于&#xff1a;其能够…

STM32(X) SD卡协议详解

SPI读写字节 u8 SPI1_ReadWriteByte(u8 TxData)//读写 { u8 retry0; while (SPI_I2S_GetFlagStatus(SPI1, SPI_I2S_FLAG_TXE) RESET) //发送是否完成{retry;if(retry>200)return 0;} SPI_I2S_SendData(SPI1, TxData); //当发送完成之后才继续发送retry0;while (…

总结大佬经验,如何学习STM32?(入门、进阶)

大佬经验 一、想快速入门进阶&#xff0c;面向工作时 先把工作中需要的东西学会&#xff0c;一项一项的来&#xff0c;比如先把GPIO弄清楚&#xff0c;怎么初始化&#xff0c;怎么输入输出&#xff0c;然后定时器、串口、ADC、DAC、DMA、SPI等等先把用得着的一个一个地做&#…

STM32 LL库+STM32CubeMX--点亮板载LED

一、前期准备 硬件&#xff1a;STM32F103C8T6开发板调试工具&#xff1a;DAPLink(本次使用)或USB-TTL开发环境&#xff1a;STM32CubeMX、Keil、Vscode(可选)板载LED&#xff1a;PC13(低电平点亮) 二、STM32CubeMX配置 1.选择芯片型号&#xff1a; 2.配置外设时钟&#xff1a…

如何选择合适的蓝牙开发平台

蓝牙是短距离无线通讯的优选方案&#xff0c;功耗低&#xff0c;距离远&#xff0c;容易控制&#xff0c;很受消费类电子产品欢迎&#xff0c;尤其是智能穿戴设备火了之后&#xff0c;蓝牙无线通信也被更多的人知道。在选择蓝牙芯片的硬件 方案时建议做如下考虑&#xff1a; 1…

82、基于stm32单片机音乐喷泉设计播放器频谱彩灯系统设计(程序+原理图+参考论文+开题报告+任务书+设计资料+元器件清单等)

摘 要 随着人们生活水平的提高和建立绿色城市的向往&#xff0c;音乐喷泉以其独特的魅力和特殊的功能&#xff0c;愈来愈成为休闲娱乐产业中的一项重要产品,音乐喷泉的兴建也越来越多。 根据目前音乐喷泉的发展现状&#xff0c;介绍了一个以STM32单片机为核心的小型音乐喷泉控…

81、基于STM32单片机的颜色识别 TCS3200 RGB 检测系统设计(程序+原理图+PCB源文件+参考论文+开题报告+任务书+设计资料+元器件清单等)

摘 要 随着现代工业生产向高速化、自动化方向的发展&#xff0c;色彩识别广泛应用于各种工业检测和自动控制领域&#xff0c;而生产过程中长期以来由人眼起主导作用的颜色识别工作将越来越多地被相应的颜色传感器所替代。如&#xff1a;各种物体表面颜色识别&#xff08;产品包…

如何制作一个读取U盘文件系统的工程

1 前言 本文介绍基于CubeMx如何创建一个读取U盘的工程,并通过FAT32文件系统创建和读取文件。 2 创建工程 读取U盘的程序在实际项目中经常会用到&#xff0c;这里我们基于STM3240G-EVAL评估板来示例如何创建一个读取U盘的程序。 在这个示例中&#xff0c;我们将通过一个按键…

STM32 DMA使用详解

DMA部分我用到的相对简单&#xff0c;当然&#xff0c;可能这是新东西&#xff0c;我暂时还用不到它的复杂功能吧。下面用问答的形式表达我的思路。DMA有什么用&#xff1f;直接存储器存取用来提供在外设和存储器之间或者存储器和存储器之间的高速数据传输。无须CPU的干预&…

STM32 ADC结合DMA数据采样与软件滤波处理

http://blog.csdn.net/i792439187/article/details/8825397

数字逻辑:组合逻辑原件

先学习ROM,只读存储器&#xff1a; 注意注意&#xff0c;一个ROM只有n个输入&#xff0c;但他会说是2^n*b的ROM,因为输入的情况总共有2^n个&#xff08;虚假宣传了属于是&#xff09;这个ROM里面自己有电路&#xff0c;会储存一个真值表&#xff0c;输入什么就输出真值表对应的…

GPIO引脚模式

第一部分 空GPIO引脚状态 STM32中空的I/O管脚是高电平还是低电平取决于具体情况。 1、IO端口复位后处于浮空状态&#xff0c;也就是其电平状态由外围电路决定。 2、STM32上电复位瞬间I/O口的电平状态默认是浮空输入&#xff0c;因此是高阻。做到低功耗。 3、STM32的IO管脚…

esp8266实现STM32联网(最简单USART方法)

转自原文&#xff1a;点击打开链接 上一篇 esp8266怎么配置esp8266和USB转TTL连接 esp8266简单介绍 esp8266连接路由器 esp8266通过路由器连接在同一局域网中的电脑&#xff0c;建立TCP连接 esp8266使用串口发送数据到电脑上的网络调试助手 esp8266使用串口通过局域网发送到电…

入门学习单片机的方法总结

在工作中和在校的同学的交流中&#xff0c;听到的最多的是“单片机太难了”。其实不是单片机难学&#xff0c;而是不知道怎么入门。请大家记住一句话“单片机不是学出来的&#xff0c;而是玩出来的”。下面结合自己自学单片机的体会&#xff0c;和大家一起来“玩”单片机。准备…

STM32入门-STM32时钟系统,时钟初始化配置函数

在前面推文的介绍中&#xff0c;我们知道STM32系统复位后首先进入SystemInit函数进行时钟的设置&#xff0c;然后进入主函数main。那么我们就来看下SystemInit()函数到底做了哪些操作&#xff0c;首先打开我们前面使用库函数编写的LED程序&#xff0c;在system_stm32f10x.c文件…

单片机stm32的5个时钟源的详细分析

众所周知STM32有5个时钟源HSI、HSE、LSI、LSE、PLL&#xff0c;其实他只有四个&#xff0c;因为从上图中可以看到PLL都是由HSI或HSE提供的。 其中&#xff0c;高速时钟(HSE和HSI)提供给芯片主体的主时钟.低速时钟(LSE和LSI)只是提供给芯片中的RTC(实时时钟)及独立看门狗使用&a…

基于STM32的户外环境监测系统的设计

目录 1 引言 1.1 本课题的研究意义 1.2 本课题的研究现状 1.3本课题的发展趋势和研究可行性 1.4本课题主要研究工作 2 系统的概述和相关原理 2.1 系统的概述 2.1.1 总体设计的方案 2.1.2 总体框图 2.2 相关理论 2.2.1 STM32平台 2.2.2 WIFI模块 3 硬件电路设计 8 3…

基于串口通信的标准库函数(SPL)与基于HAL库函数的stm32编程方式的差异

标准库函数&#xff08;SPL&#xff09;与基于HAL库函数的stm32编程方式的差异一、 简介1.1 标准外设库1.2 HAL库1.3 性能比较二、 源代码三、 编码差异四、 总结五、参考资料由于学习STM32的过程中逐渐接触了标准外设库&#xff08;SPL&#xff09;和HAL库&#xff0c;而这两者…

单片机项目——温度计项目逐句解析

电路图 程序设计 主要程序。 // main.c #include<reg51.h> #include<DS18B20.c> unsigned char cp1,cp2,cp3; char temp; bit flag; unsigned char seven_seg[] {0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90, }; void timer0_isr(void) interrupt 1 {…

单片机项目——电子表项目逐句解析

电路图&#xff1a; 程序设计 // main.c /**电子表设计程序分析author: 胡云飞程序步骤如下&#xff1a;1. 初始化定时器2. 写中断服务函数- 初始化计时器的初值- 让cp&#xff0c;当cp>250时&#xff0c;为半秒&#xff0c;让cp2&#xff0c;flash取反&#xff08;分隔符闪…

#STM32学习#6D加速度传感器测量风机震动

1、背景&#xff1a; 需要监测风机的启动与停止&#xff0c;希望通过测量其振动频率来辅助判定。 2、传感器&#xff1a; 传统的振动传感器如下图&#xff0c;其有两个缺点&#xff1a;一是测量精度低&#xff0c;对于微小的振动不敏感&#xff1b;二是无法测出分频信号。 选…

#STM32学习#RTC设置与低功耗(1)

基于L476RGTx的开发过程&#xff1a; 1、关于RTC的配置&#xff1a; 1.1、首先&#xff0c;我们要保证创建的工程正确的配置了时钟LSE。 在stm32 cubemx自动生成的代码中有如下体现&#xff1a; 1.2、RTC时钟分频设置 时钟源 AsynchPrediv SynchPrediv 得到 HSE_RTC…

keil5编译错误cannot open source input file “stdint.h“:

keil5报错cannot open source input file "stdint.h": ADS1.2与Keil5不兼容&#xff0c;需要卸载ADS软件 使用UninstallToolPortable软件卸载ADS软件 链接: https://pan.baidu.com/s/1qoKGrh2iEwjmRbT0q-nthw 提取码: xmjj 复制这段内容后打开百度网盘手机App&…

量产消防控制器方案软件加硬件完整开发方案

量产消防控制器方案软件加硬件完整开发方案 使用STM32F103C8T6做主控芯片HAL库加寄存器编写&#xff0c;MDK环境&#xff0c;防上电继电器误触发电路&#xff0c;6路输入隔离&#xff0c;1路定时关电&#xff0c;1路报警&#xff0c;6路输出 id637188631888&

STM32+CubeMX+Keil+HAL库

目录 1. 设置GPIO&#xff1a; 2. printf重定向 3. Log信息格式 4. 个性化输出 5. CubeMXHAL打开串口中断 6. 打开外部中断 7. 时钟树基本操作 8. 通用定时器配置 9. CubeMX配置定时器 &#xff08;1&#xff09;将定时器的时钟设为72M &#xff08;2&#xff09;选择内…

【学习FreeRTOS】第4章——FreeRTOS任务创建与删除

1.任务创建和删除的API函数 任务的创建和删除本质就是调用FreeRTOS的API函数 动态方式创建任务——xTaskCreate()静态方式创建任务——xTaskCreateStatic()删除任务——vTaskDelete() 动态创建任务&#xff1a;任务的任务控制块以及任务的栈空间所需的内存&#xff0c;均由 F…

stm32 使用keil无实物(软件)仿真,虚拟串口通讯

准备 1.keil 2.vspd虚拟串口 3.sscom串口助手 4.CubeMX //哪里报错no ‘read‘ permission&#xff0c;把哪里map一下 map 0x40000000, 0x400077FF read write // APB1 map 0x40010000, 0x40014BFF read write // APB2 map 0x40020000, 0x4007FFFF read write …

基于树莓派4B与STM32的智能门禁系统项目(代码开源)

前言&#xff1a;本文为手把手教学嵌入式经典项目——智能门禁项目&#xff0c;本次项目采用 树莓派4B 与 STM32F103C8T6 进行联合开发。项目充分发挥各自 CPU 的优势与长处&#xff0c;将人脸识别的大计算量任务给树莓派4B&#xff0c;将门禁系统的控制部分交给 STM32 进行处理…

【嵌入式操作系统】实验2:GPIO编程及应用

文章目录一、实验目的二、实验环境三、实验内容1.实验任务2.实验步骤总结一、实验目的 熟悉STM32 模块的GPIO硬件连接&#xff1b;掌握GPIO初始化配置&#xff1b;掌握GPIO控制板上LED灯编程&#xff1b;熟练KEIL 工程的配置&#xff0c;编译&#xff0c;调试&#xff0c;下载…

全国电子设计竞赛-国二--智能送药小车

好久没来写博客了 &#xff0c;有点久了&#xff0c;因为四级考试和期末考&#xff0c;所以一直都没更新。 不过这一次的比赛是真的很幸运&#xff0c;因为参加过比赛都知道&#xff0c;这一次没有了线下比赛&#xff0c;线上评审&#xff0c;不过拿到试题的那一天也是蛮紧张的…

两个hc05进行双向通信-2021年电子设计竞赛送药小车

蓝牙HC05是主从一体的蓝牙串口模块&#xff0c;简单的说&#xff0c;当蓝牙设备与蓝牙设备配对连接成功后&#xff0c;我们可以忽视蓝牙内部的通信协议&#xff0c;直接将将蓝牙当做串口用。当建立连接&#xff0c;两设备共同使用一通道也就是同一个串口&#xff0c;一个设备发…

STM32实战项目—密码锁

该项目的完整工程可以在博主的资源里找到&#xff0c;仅供参考。 文章目录 一、任务要求二、实现方法2.1 输入密码判断2.2 管理员模式2.3 修改密码 三、程序设计3.1 输入密码判断3.2 进入管理员模式3.3 修改密码 四、问题总结4.1 输入内容一直提示Error4.2 密码判断一直错误 五…

电赛2019年F题纸张测量FDC2214的初始化代码(含STM32f103zet6和f103c8t6)胎教式

&#xff08;一&#xff09;我的感受 其实第一次接触这一个题目的时候&#xff0c;觉得还是不难的&#xff0c;&#xff08;因为相对于我实验室的同学做的其他的一些控制类题目&#xff09;。我觉得在代码方面完成题目要求的不难&#xff0c;就是在机械结构方面要做好&#xf…

在STM32F746G-DISCO开发板上使用Nabto + FreeRTOS的演示热泵应用

当使用STM32 ARM Cortex-M微控制器时&#xff0c;ST的免费嵌入式软件STM32Cube提供了所有必要的驱动程序和中间件组件&#xff0c;以减少初始的开发工作。在上述提到的中间件组件中&#xff0c;其中一个是非常受欢迎的FreeRTOS实时操作系统&#xff0c;Nabto正在努力建立一个强…

Stm32学习记录之中断

1、前言 该系列文章用于记录个人学习stm32单片机的过程&#xff0c;欢迎指导讨论~。 2、中断知识点梳理 中断 { N V I C ( 内嵌向量中断控制器 ) { 中断向量表 优先级 { 抢占优先级 响应优先级 自然优先级 优先级分组 E X T I ( 外部中断 ) { 触发方式 { 上边沿 下边沿 双边沿 …

车载芯片分类、用途、供应商

2021年车载芯片供应持续紧缺&#xff0c;本文梳理车载芯片相关基本知识。 车载芯片分类及用途列表 序号芯片类别用途1SoC系统级芯片&#xff0c;用于智能运算。即将能够完成某项功能的一整个系统集成在一块芯片上。SoC常由CPUGPUDSPNPU各种外设接口、存储类型等电子元件组成。…

STM32基于CubeIDE和HAL库 基础入门学习笔记:功能驱动与应用

文章目录&#xff1a; 一&#xff1a;LED与按键驱动程序 main.c 1.闪灯 led.h led.c 2.按键控制LED亮灭 key.h key.c 二&#xff1a;蜂鸣器与继电器驱动程序 main.c 1.蜂鸣器 buzzer.h buzzer.c delay.h delay.c 2.继电器 relay.h relay.c 三&#xff1…

64MHz 闪存STM32G0B1CEU6(STM32G0B1CCU6)STM32G0B1CBU6引脚配置图、32位微控制器

STM32G0B1 32位微控制器具有最高512KB嵌入式闪存和144kB RAM存储器。该器件采用48-UFQFPN 引脚封装。它支持USB全速主机/设备、集成USB Type-C控制器和收发器、FDCAN协议以及多达8个UART。 STM32G0 32位微控制器 (MCU) 适合用于消费、工业和家电领域的应用&#xff0c;并可随时…

基于STM32CubeMX和keil采用SPI通信实现轮询方式读写W25Q128获取设备ID

文章目录 前言1. SPI通信1.1 SPI硬件接口与连线1.2 SPI传输协议1.3 SPI的数据传输 2. W25Q1282.1 片选2.2 控制指令2.3 时序图分析 3. STM32CubeMX配置3.1 引脚配置3.2 时钟配置3.3 SPI配置3.4 工程配置 4. 代码编写4.1读ID函数 总结 前言 最近使用通信比较多&#xff0c;包含…

STM32F4 读/写 EEPROM【EEPROM、串口显示】

将姓名&#xff08;拼音&#xff09;学号写入EEPROM并在启动时通过串口显示 本篇博客将介绍如何使用STM32F4开发板将姓名&#xff08;拼音&#xff09;学号写入EEPROM&#xff0c;并在启动时通过串口显示。我们将使用核心实现函数EEPROM_WR_Test来完成这个功能。 准备工作 在…

冯·诺依曼架构哈佛架构(嵌入式学习)

冯诺依曼架构&哈佛架构 0. 前言1. 冯诺依曼架构&#xff08;von Neumann architecture&#xff09;关键组件限制&挑战 2. 哈佛架构关键组件限制&挑战 3. 冯诺依曼架构&哈佛架构的区别4. 知识扩展 0. 前言 冯诺依曼架构&#xff08;von Neumann architecture&a…

嵌入式STM32的GPIO口工作模式的介绍

一。输入模式 1. 浮空输入   浮空输入模式下&#xff0c;上拉和下拉两个开关断开&#xff0c;高或低电平通过施密特触发器到达输入数据寄存器&#xff0c;CPU可以通过读取输入数据寄存器从而读取到外部输入的高低电平值。 2. 输入上拉模式 上拉电阻开关连上&#xff0c;…

初步学习keil 5下的stm32编译——失败实例

初步学习keil 5下的stm32编译——失败实例一、 打开keil5.101. 新建工程2. 编写LED闪烁程序并与工程建立连接二、 总结写在前面&#xff1a;此实例是一篇失败实例&#xff0c;如有大佬有解决方法&#xff0c;望告知&#xff0c;谢谢大家。 一、 打开keil5.10 1. 新建工程 ① …

keil使用J-Link烧录代码出现Error:Flash Download failed -“Cortex-M3”解决方案之一

出现问题 第一步&#xff1a;魔术棒–settings 第二步&#xff1a;SW改成JTAG.然后就能烧录成功了&#xff1a;&#xff09; 再把JTAG改回去&#xff0c;依然能成功&#xff0c;玄学||| 烧录成功 或者&#xff1a;将烧录速率改成2MHz。

C语言语句YPR[0]=(BUF[1]<<8|BUF[2]),以及 >> 8 0xFF如何理解?

C语言语句 YPR[0](BUF[1]<<8|BUF[2])如何理解? 这是一个赋值语句&#xff0c;把等式右边的值赋给左边&#xff1b;先来看右边是怎么运算的&#xff0c; 由于移位运算符<<的优先级大于位运算符|&#xff0c;所以先计算BUF[1]左移八位&#xff0c;然后再或上BUF[2…

STM32模拟SPI协议控制数字电位器MCP41010电阻值

STM32模拟SPI协议控制数字电位器MCP41010电阻值 MCP41010是单路8位分辨率数字电位器&#xff0c;通过SPI接口可控制电位器阻值分配&#xff0c;相当于PW0端在PA0和PB0之间滑动。如下图所示&#xff1a; MCP41010是10K欧姆规格的数字电位器&#xff0c;即PA0和PB0之间的阻值恒…

国产芯片------瑞盟MS321/358/324低功耗通用型运放,替代LM321/358/324

MS321/358/324分别为单通道、双通道和四通道运算放大器。具有高的单位增益带宽&#xff0c;在特定情况下摆率可以达到0.4V/us&#xff0c;每个放大器的静态电流&#xff08;5V&#xff09;只有430uA。输入共模范围可以到地&#xff0c;同时器件可以在单电源或双电源下工作。它还…

基于stm32f1的lora开发基础通信实验

一、实验条件 环境&#xff1a; win10专业版&#xff1b; keil uv5 &#xff1b; 串口调试助手&#xff1b; stm32f1系列单片机&#xff1b; as32lora模块&#xff08;这里是泽耀科技的as32_ttl_100&#xff09; 二、实现功能 1.使用定时器将底层数据通过as32每隔1秒向上层…

基于LoRa技术的STM32处理器无线程序升级系统设计(学习)

基于LoRa技术的STM32处理器无线程序升级系统设计 设计并实现了一款基于LoRa技术对STM32F767系列处理器通过无线方式升级程序的系统。该系统的硬件结构包括&#xff1a;无线发送端、无线接收端及待升级程序的STM32F767处理器3个部分。 发送端将程序的数据文件通过LoRa技术传递…

【学习FreeRTOS】第5章——FreeRTOS任务挂起与恢复

1.任务的挂起与恢复的API函数 vTaskSuspend() ——挂起任务&#xff08;类似暂停&#xff0c;可恢复&#xff0c;但删除任务&#xff0c;无法恢复&#xff09;vTaskResume() ——恢复被挂起的任务xTaskResumeFromISR()—— 在中断中恢复被挂起的任务 1.1.任务挂起函数vTaskSu…

stm32 cubemx can通讯(1)回环模式

文章目录 前言一、cubemx配置二、代码1.过滤器的配置&#xff08;后续会介绍&#xff09;2.main.c3.主循环 总结 前言 介绍使用stm32cubemx来配置can&#xff0c;本节讲解一个简答&#xff0c;不需要stm32的can和外部连接&#xff0c;直接可以用于验证的回环模式。 所谓回环模…

STM32F429IGT6使用CubeMX配置外部中断按键

1、硬件电路 2、设置RCC&#xff0c;选择高速外部时钟HSE,时钟设置为180MHz 3、配置GPIO引脚 4、NVIC配置 PC13相同 5、生成工程配置 6、部分代码 中断回调函数 /* USER CODE BEGIN 0 */void HAL_GPIO_EXTI_Callback(uint16_t GPIO_Pin) {if(GPIO_Pin GPIO_PIN_0){HAL_GPIO…

keil下载程序具体过程:概述

一、前言 keil下载程序具体过程将由一系列的博客组成&#xff0c;将深入探讨keil这种IDE下载镜像文件时具体做了哪些事情。我们平常下载镜像的时候&#xff0c;只是点击了一下Download按钮&#xff0c;剩下的都由keil替代我们完成了。本系列博客将揭示这一过程&#xff0c;keil…

手把手教你使用USB的CDC+MSC复合设备(基于stm32f407)

学习 1 实验环境与说明2 USB CDC2.1 CDC代码生成2.2 通信设备&#xff08;CDC&#xff09;描述符2.2.1 设备描述符2.2.2 配置描述符 2.3 调试 3 USB MSC3.1 MSC代码生成3.2 大容量存储设备&#xff08;MSC&#xff09;描述符3.2.1 设备描述符2.2.2 配置描述符 3.3 调试 4 USB复…

STM32CUBE IDE 使用F407的CCMRAM

F407有64K的CCMRAM闲着怪浪费&#xff0c;用一下 使用STM32CUBE IDE配置。只需要在定义的变量后面加 __attribute__((section(".ccmram") ))即可。不用修改FLASH.LD文件。 举例使用LVGL定义一个大数组并使用&#xff1a; #define MY_DISP_HOR_RES (320)/* Examp…

day8 STM32数据搬运工 - DMA

DMA简介 DMA&#xff0c;全称为&#xff1a;Direct Memory Access&#xff0c;即直接存储器访问。 DMA 传输方式无需 CPU 直接控制传输&#xff0c;也没有中断处理方式那样保留现场和恢复现场的过程&#xff0c;通过硬件为 RAM 与 I/O 设备开辟一条直接传送数据的通路&#x…

单片机烧录程序后没反应

勾选reset and run 或者烧录完之后重新上电

ESD参考资料整理

本文引用地址&#xff1a;一个设计问题引发的ESD深思 方案1&#xff1a;模块PCB1&#xff0c;需要上拉至VCC连接至PCB2上&#xff0c;两模块间需焊接一导线。在PCB LAYOUT设计中&#xff0c;为了防止焊接或者其他因素引起的静电破坏设计&#xff0c;将上拉和ESD管都放在PCB2上(…

全国大学生电子设计竞赛代码-PID篇

配备好的代码输入参数就直接可以用了&#xff0c;个人感觉还是不错的 下面的是头文件 #ifndef _PID_H #define _PID_Htypedef struct _positional_pid{//PID的基本参数double GoalVale; //目标值double ActualVale; //真实值double Error; //误差double Last…

51单片机之程序结构

1.死循环结构 void main() { 初始化(); while(1) { //想要执行的程序 程序1(); 程序2(); ...... } } 2.死循环结构 void main() { 初始化(); for(;;) { //想要执行的程序 程序1(); 程…

基于stm32的语音识别设计(离线语音识别、DMA传输)

之所以写这篇&#xff0c;是因为在网上看到这个资料很少&#xff0c;所以自己写一下就当作是记录。这个模块的识别率亲测还是很高的&#xff0c;就是商家那边不提供开源&#xff0c;要的话只能定制就很。。。。但是作为一个小功能需求也就够了。但是要大批量的话还要做自己的产…

STM32调试不了的问题,调试的功能都用不了的解决方案

第一步 搜索你的型号&#xff0c;并向下滚动 记住这一串型号 点击魔术棒&#xff0c;之后选中Debug 填入刚刚记下来的型号&#xff0c;分别填入 频率配置 最后点击那个双箭头重头开始编译&#xff0c;然后就可以进行调试了

Keil去掉烦人的ST-Link更新提示

目录问题解决办法问题 山寨的ST-LINK常常会出现以下提示 解决办法

STM32中的数据类型

&#xff08;一&#xff09;C语言中的种类数据 整型&#xff1a;int short long 实型&#xff1a;float double &#xff08;二&#xff09;STM32中的数据类型非常的多&#xff0c;常用的变量&#xff0c;文件中的定义如下&#xff1a; /* exact-width signed integer type…

STM32-SRAM地址线的连接

在嵌入式系统设计过程中&#xff0c;由于主控芯片&#xff08;如ARM、PPC、MIPS等&#xff09;片上的存储空间不够大&#xff0c;经常需要外接存储器芯片&#xff08;如ROM、SRAM、SDRAM、DDR2、Nand Flash等&#xff09;&#xff0c;因此&#xff0c;弄清楚主控芯片与外界存储…

stm32串口USART 硬件流控

流控的概念源于 RS232 这个标准&#xff0c;在 RS232 标准里面包含了串口、流控的定义。RS232 中的“RS”是Recommend Standard 的缩写&#xff0c;即”推荐标准“之意&#xff0c;它并不像 IEEE-1284、IEEE-1394 等标准&#xff0c;是由“委员会定制”。因而&#xff0c;不同的…

STM32F1xx HAL库 中文详解 之 GPIO篇

本篇主要讲解 STM32F1xx HAL库 中gpio部分的结构体&#xff0c;函数及应用。 目录 一、结构体部分 二、函数部分 2.1所有函数及功能总览 2.2各函数详细介绍 三、如何使用这个驱动程序 一、结构体部分 typedef struct{uint32_t Pin //选择引脚uint32_t Mode…

STM32软件调试logic Analyzer-单步执行main()程序

1、开始运行 2、停止运行 3、准备单步运行 4、先从延时程序delay_ls()中跳出&#xff0c;再单步执行。

STM32进行软件调试步骤:

STM32进行软件调试步骤&#xff1a; 1、点击设置 2、修改晶振为“8.0” 3、点击“Debug” 4、点击“确定” 5、点击“调试”图标 6、对调试内容进行设置&#xff0c;根据个人要求习惯设置&#xff0c;此处只留下“逻辑分析窗口”&#xff0c;可观察端口输出的高低电平转换。…

STM32软件调试时,示波器(Logic Analyzer)不能连续运行

1、启动软件调试&#xff0c;开始运行 2、将“Update Screen”的stop改为start&#xff1a; 3、等待一段儿时间后&#xff0c;再重新点击start改为stop&#xff0c;可观察端口输出电平波形图出现。 4、现在的问题是&#xff0c;如何连续的观察端口输出电平波形图 5、可达到…

STM32F407使用Helix库软解MP3并通过DAC输出,最精简的STM32+SD卡实现MP3播放器

只用STM32单片机SD卡耳机插座&#xff0c;实现播放MP3播放器&#xff01; 看过很多STM32软解MP3的方案&#xff0c;即不通过类似VS1053之类的解码器芯片&#xff0c;直接用STM32和软件库解码MP3文件&#xff0c;通常使用了labmad或者Helix解码库实现&#xff0c;Helix相对labm…

【【STM32-USART串口协议】】

STM32-USART串口协议 USART串口协议 •通信的目的&#xff1a;将一个设备的数据传送到另一个设备&#xff0c;扩展硬件系统 •通信协议&#xff1a;制定通信的规则&#xff0c;通信双方按照协议规则进行数据收发 就是我们并不能在芯片上设计完全部的一下子完成所有的设计&…

stm32之DHT11

今天&#xff0c;记录一下DHT11&#xff0c;涉及到了单总线协议&#xff0c;所以先花点时间谈论一下单总线协议&#xff08;DS18B20也是用的单总线&#xff09;。 单总线协议 单总线技术的通信协议 可能这时序图就是个例子&#xff0c;ds18b20的时序图与DHT11的时序图也是不一…

基于STM32设计的口罩识别和无线测温系统

一、设计需求 基于STM32设计的口罩识别和无线测温系统 1.1 项目背景 随着深度学习和计算机视觉的快读发展,与此有关的技术设备已经被大幅度的使用,并且不仅仅在这两个方面,更在许许多多的领域都有使用。众所周知,图像理解之中的最重要的一个步骤即为目标检测,和为目标检测…

STM32基于CubeIDE和HAL库 基础入门学习笔记:物联网项目开发流程和思路

文章目录&#xff1a; 第一部分&#xff1a;项目开始前的计划与准备 1.项目策划和开发规范 1.1 项目要求文档 1.2 技术实现文档 1.3 开发规范 2.创建项目工程与日志 第二部分&#xff1a;调通硬件电路与驱动程序 第三部分&#xff1a;编写最基础的应用程序 第四部分&…

STM32 F103C8T6学习笔记4:时钟树、滴答计时器、定时器定时中断

今日理解一下STM32F103 C8T6的时钟与时钟系统、滴答计时器、定时器计时中断的配置&#xff0c;文章提供原理&#xff0c;代码&#xff0c;测试工程下载。 目录 时钟树与时钟系统&#xff1a; 滴答计时器&#xff1a; 定时器计时中断&#xff1a; 测试结果&#xff1a; 测…

FreeRTOS源码分析-11 软件定时器

目录 1 软件定时器概念及其应用 1.1 软件定时器定义 1.2 FreeRTOS软件定时器介绍 1.3 FreeRTOS软件定时器工作原理 2 软件定时器函数应用 2.1 功能需求 2.2 API 2.3 功能实现 3 软件定时器原理源码分析 3.1 软件定时器控制块 3.2 软件定时器任务&软件定时器创建 …

STM32基于CubeIDE和HAL库 基础入门学习笔记:蓝牙 WIFI STM32连接阿里云

文章目录&#xff1a; 一&#xff1a;蓝牙模块 1.蓝牙模块透传收发测试程序 bt.h bt.c usart.c main.c 2.蓝牙模块AT指令发送与回复判断程序 usart.c main.c 3.蓝牙模块APP按钮控制应用程序 main.c 4.蓝牙模块APP专业调试测试程序&#xff08;操控界面&#xff1a;按…

STM32--SPI通信与W25Q64(1)

文章目录 前言SPI通信硬件电路移位过程 SPI时序起始与终止条件交换一个字节 W25Q64硬件电路框图 FLASH操作注意事项软件SPI读写W25Q64 前言 USART串口链接入口 I2C通信链接入口 SPI通信 SPI&#xff08;Serial Peripheral Interface&#xff09;是一种高速的、全双工、同步的串…

4G数传方案(合宙cat1模块)

一. 合宙Cat1简介 合宙 Air724 模组推出的低功耗&#xff0c;超小体积&#xff0c;高性能嵌入式 4G Cat1 核心版&#xff0c;标准的 2.54 排针、最小成本的进项 2G、4G Cat4 切换&#xff1b;主要功能如下: 实际测试工作环境为-35℃-75℃&#xff1b; 支持 5-12V 供电或者 3.7…

STM32源码阅读之HAL位操作相关

前言 HAL库结构基础是基于位操作对地址读写 重要的位操作宏定义 头文件&#xff1a; stm32f1xx.h #define SET_BIT(REG, BIT) ((REG) | (BIT)) /*对某一位置1*/#define CLEAR_BIT(REG, BIT) ((REG) & ~(BIT)) /*对某一位置0*/#define READ_BIT(REG, BIT) ((RE…

stm32 cubemx can通讯(3)bsp_can

文章目录 前言一、bspbsp_can.hbsp_can.c 二、如何使用总结 前言 stm32 cubemx can通讯&#xff08;1&#xff09;回环模式 stm32 cubemx can通讯&#xff08;2&#xff09;过滤器设置说明代码分析 根据前两篇文章已经能够实现can标准帧的收发&#xff0c;但是调用的函数没有标…

day3 STM32 GPIO口介绍

GPIO接口简介 通用输入输出接口GPIO是嵌入式系统、单片机开发过程最常用的接口&#xff0c;用户可以通过编程灵活的对接口进行控制&#xff0c;实现对电路板上LED、数码管、按键等常用设备控制驱动&#xff0c;也可以作为串口的数据收发管脚&#xff0c;或AD的接口等复用功能使…

01:STM32点灯大师和蜂鸣器

目录 一:点亮1个LED 1:连接图 2:函数介绍 3:点灯代码 二:LED闪烁 1:函数介绍 2:闪烁代码 三:LED流水灯 1:连接图 2:函数介绍 3:流水灯代码 四:蜂鸣器 1:连接图 2:蜂鸣器代码 一:点亮1个LED 1:连接图 因为IO口与LED负极相连所以IO口输出低电频,点亮LED (采用的是低…

STM32 CubeMX (Freertos任务:创建、删除、挂起、恢复)

STM32 CubeMX Freertos STM32 CubeMX &#xff08;Freertos任务&#xff1a;创建、删除、挂起、恢复&#xff09; STM32 CubeMX Freertos前言一、STM32 CubeMX 配置时钟树配置使能串口&#xff0c;用于用于检查实验现象使用STM32 CubeMX 库&#xff0c;配置Freertos创建任务 二…

ARM--day7(cortex_M4核LED实验流程、异常源、异常处理模式、异常向量表、异常处理流程、软中断编程、cortex_A7核中断实验)

软中断代码&#xff1a;&#xff08;keil软件&#xff09; .text .global _start _start:1.构建异常向量表b resetb undef_interruptb software_interruptb prefetch_dataabortb data_abortb .b irqb fiq reset:2.系统一上电&#xff0c;程序运行在SVC模式1>>初始化SVC模…

iTOP-STM32MP157开发板Linux Misc驱动编写实验程序(运行测试)

启动 STM32MP157 开发板&#xff0c;我们通过 nfs 挂载共享文件目录&#xff0c;我们进入到共享目录&#xff0c;加载驱动模块如 图所示&#xff1a; insmod misc.ko 驱动加载成功后&#xff0c;输入以下命令&#xff0c;查看注册的设备节点是否存在&#xff0c;如下图所示&a…

STM32CubeIDE(SPI读写Flash)

参考&#xff1a;①正点原子MINI教程②STM32F103配合STM32CubeMX实现SPI读写flash_stm32f103 cube spi_zerfew的博客-CSDN博客 目录 一、理论知识 1、SPI特征 2、SPI框图 3、SPI的工作模式 4、W25Q64 4.1 NOR FLASH 的特性 4.2 W25Q64芯片引脚图 4.3 NOR FLASH 工作时序…

速通蓝桥杯嵌入式省一教程:(八)ADC测量模拟电压

ADC(Analog to Digital Converter)&#xff0c;模拟数字转换器&#xff0c;是电子工程师必须掌握的一个内容。由于单片机、计算机等是由0和1组成的&#xff0c;因此其无法直接测量或使用连续的模拟信号&#xff0c;需要用ADC将模拟信号转换为离散的数字信号。ADC的具体原理在此…

STM32CubeMx配置HAL库PWM

PWM简介 PWM(Pulse Width Modulation)是脉冲宽度调制的缩写&#xff0c;是一种利用微处理器的数字输出来对模拟电路进行控制的技术。PWM的原理是 通过调节占空比来调节脉冲宽度&#xff0c;从而改变输出电压的大小。波形图如下 PWM的两个重要参数为频率和占空比。频率是周期…

STM32--MPU6050与I2C外设

文章目录 前言MPU6050参数电路MPU6050框图 IIC外设框图 IIC的基本结构软件IIC实现MPU6050硬件IIC实现MPU6050 前言 在51单片机专栏中&#xff0c;用过I2C通信来进行实现AT24C02的数据存储&#xff1b; 里面介绍的是利用程序的编程来实现I2C的时序&#xff0c;进而实现AT24C02与…

TCS3200颜色识别模块

TCS3200颜色识别模块 TCS3200简介 供电电源(2.7V to 5.5V)可配置颜色滤波器和输出信号频率高分辨率光强转换到频率(工作原理) TCS3200工作原理 TCS3200是TAOS公司推出的可编程彩色光到频率的转换器&#xff0c;它把可配置的硅光电二极管与电流频率转换器集成在一个单一的CMO…

H桥驱动电路的反向电动势的吸收

下图是应用于智能灌溉系统驱动脉冲电动阀的H桥电路&#xff0c;有一个小问题。 H桥驱动电路 由于电动阀的线圈呈现感性。当正向或者反向驱动信号断开时&#xff0c;流过线圈的电流不能突变。 在线圈两端会产生与驱动信号反相的反向电动势。 驱动信号断开时&#xff0c;线圈产生…

STM32 CAN 过滤器设置

做个笔记吧 &#xff0c;免得以后忘记了 芯片是stm32F207 &#xff0c;用cubeMX 6.80 版本生成 CAN 的使用总体包含4个部分 第一步&#xff1a;CAN初始化&#xff0c;配置波特率 (cubeMX 里面配置好后自动生成&#xff0c;不需要手动添加) MX_CAN1_Init(); 第二步&#…

基于STM32+微信小程序设计的宠物投喂装置(腾讯云IOT)

一、设计需求 【1】 项目背景 社会经济的飞速发展与城市化进程的加速,城市市民家庭的封闭化和人口老龄化的情况日益突出,基于人们的情感寄托与休闲消费的需要,中国的宠物产业也悄然兴起。家庭宠物的饲养成为了城市居民生活消遣的新方式。宠物的喂养和看护往往是宠物主人最…

19 . 窗口看门狗(WWDG)实验

窗口看门狗&#xff08;WWDG&#xff09;实验 上一篇我们介绍了独立看门狗IWDG&#xff0c;这一篇我们来学习下窗口看门狗&#xff08;以下简称WWDG&#xff09;。要实现的功能是&#xff1a;使用窗口看门狗的中断来喂狗&#xff0c;通过D1、D2 指示灯提示程序运行状态。学习本…

KEIL编译器设置RAM数据复位后不被初始化数据

第一种&#xff0c;编译器设置&#xff1a; 这样设置RAM2&#xff0c;&#xff0c;&#xff0c;前提是你的RAM空间要有0x8200的大小&#xff0c;&#xff0c;&#xff0c;我只是举一个例子。 在用at指定空间就可以了&#xff0c;比如&#xff1a; unsigned char APP_MAC[6] __…

ST-Bluenrg-lp芯片编程因为地址重叠导致常量值被更改

所遇问题&#xff1a;定义的结构体&#xff0c;用于限制范围大小。类似于&#xff1a; struct test SysParaMax { .test1 5000,.test2 5000,.test3 100,.test4 600, }struct test SysParaMin { .test1 0,.test2 0,.test3 0,.test4 0, } 结果作为范围限制使用的时…

解决更换芯片原程序不能正常编译问题 和问题ErrorFlash Download failed Cortex-m3

当从这个芯片 更换为这个芯片时 编译原程序后出现了这个问题 解决办法为: 把其中的STM32F10X_HD,删掉 编译成功 烧入时出现: 第一种可能没有正确添加 点击add正确添加: 第二种里面没有合适的 则首先需要安装一个跟自己芯片匹配的一个环境库,比如: 安装好后在C/C添加路径: 再看…

STM32F407写超声波传感器HC-SR04程序

1&#xff0e;给超声波模块接入电源和地。 2.给trig输入一个长为20us的高电平方波 3.输入方波后&#xff0c;模块会自动发射8个40KHz的声波&#xff0c;echo的电平会由0变为1 4.当超声波返回被模块接收到时&#xff0c;回波引 脚端的电平会由1变为0。定时器记下的这个时间即为…

R1 STM32的系统定时器SysTick笔记

STM32的系统定时器SysTick笔记 时钟计算公式 t定时时间ticks多少时钟周期中断一次f时钟频率 72Mhz72000000 tTicks * 1/f (72000000/100000) * (1/72000000) 10us 初始化函数 void SysTick_Init(void) {/* SystemFrequency / 1000 1ms中断一次* SystemFrequency / 1…

10 . 定时器介绍和应用

在前面我们使用到的延时是通过CPU 循环等待产生的&#xff0c;这个延时是不精确的。现在给大家介绍STM32F1 内部SysTick 系统定时器&#xff0c;通过一个简单的LED 流水灯程序来讲述如何配置SysTick 系统定时器实现精确延时。分为如下几部分内容&#xff1a; 1. SysTick 定时器…

小松的STM32教程(19)—— 文件系统

文件系统 文件系统又称为文件管理系统&#xff0c;也就是在磁盘上管理文件的方法&#xff0c;常用的文件系统有FATFS&#xff08;用于小型的嵌入式&#xff09;&#xff0c;NTFS&#xff08;windows NT&#xff09;&#xff0c;CDFS&#xff08;光盘&#xff09;&#xff0c;e…

8 . STM32固件库介绍

STM32固件库介绍 前面为大家简单介绍了如何使用寄存器点亮开发板上LED&#xff0c;这种开发方式显然是不适合大众&#xff0c;对于STM32 这样庞大的芯片&#xff0c;内部寄存器实在太多&#xff0c;如果操作的外设比较多&#xff0c;那么就需要花很多时间查询底层寄存器内容&a…

2 . 可运行最小电路介绍

可运行最小电路介绍 在51单片机中 最小电路由 晶振电路&#xff0c;复位电路&#xff0c;电源电路&#xff0c;下载电路 组成&#xff0c;stm32 中也一样&#xff01; 晶振电路&#xff1a; 外部高速&#xff08;HSE&#xff09;和外部低速 &#xff08;LSE&#xff09; 复位电…

STM32f103入门(7)pwm驱动led驱动舵机驱动直流电机

PWM驱动 PWM介绍TIM_OC1Init 配置通道TIM_OCStructInit 输出比较参数默认值输出比较模式 TIM_OCInitstructure输出比较极性 TIM_OCInitstructure设置输出使能以下三个决定了PWM的频率 占空比初始化通道 TIM_OC1Init(TIM2, &TIM_OCInitstructure);GPIO复用 PWM通道 驱动LED复…

STM32F103C8T6蓝牙OTA教程

一、准备与简介 1. 准备材料 文章使用的软硬件并不局限&#xff0c;下述仅作参考&#xff0c;文章的所有使用的工程可在文末获取&#xff08;百度网盘Github&#xff09; 1&#xff09;STM32F103C8T6核心板 2&#xff09;下载器&#xff08;PWLINK&#xff09; 3&#xff0…

FreeRTOS中断优先级测试

目录 资源配置 测试方案 易出 bug 测试代码 资源配置 1、定时器3中断优先级为3 2、定时器4中断优先级为4 3、FreeRTOS中断配置 OS系统管理不高于中断优先级4的中断。 #ifdef __NVIC_PRIO_BITS /* __NVIC_PRIO_BITS 已经在stm32f1xx.h里面定义为4 */#define configPRIO_B…

STM32 F103C8T6学习笔记12:红外遥控—红外解码-位带操作

今日学习一下红外遥控的解码使用&#xff0c;红外遥控在日常生活必不可少&#xff0c;它的解码与使用也是学习单片机的一个小过程&#xff0c;我们将通过实践来实现它。 文章提供源码、测试工程下载、测试效果图。 目录 红外遥控原理&#xff1a; 红外遥控特点&#xff1a; …

Freertos第一课

freertos与裸机的区别 裸机的程序&#xff1a;一般是执行完一个任务后&#xff0c;再执行下一个任务。 freertos的程序&#xff1a;当存在不同优先级时&#xff0c;会把优先级比较高的任务执行完&#xff0c;再执行优先级较低的任务&#xff0c;当存在任务的优先级一样时&…

结构体作用(STM32)

结构体作用&#xff08;STM32&#xff09; 来源&#xff1a;正点原子 MDK 中很多地方使用结构体以及结构体指针&#xff0c;下面总结一下其使用结构体的主要作用。 1.结构体是将不同的数据类型整合为一个有机整体&#xff0c;方便数据管理&#xff0c;增加代码的可读性 2.结…

【ARM】Day4 点亮LED灯

1. 思维导图 2. 自己编写代码实现三盏灯点亮 .text .global _start _start: /**********LED1&#xff0c;LED2,LED3点灯:PE10,PF10,PE8**************/ RCC_INIT:使能GPIOE组/GPIOF组控制器,通过RXCC_MP_AHB4ENSETR设置第[5:4]位写1,地址:0x50000A28[5:4]1ldr r0,0x50000A28 …

【学习FreeRTOS】第9章——FreeRTOS任务调度

1.开启任务调度器 vTaskStartScheduler() 作用&#xff1a;用于启动任务调度器&#xff0c;任务调度器启动后&#xff0c; FreeRTOS 便会开始进行任务调度【动态创建任务为例】 创建空闲任务如果使能软件定时器&#xff0c;则创建定时器任务关闭中断&#xff0c;防止调度器开…

FreeRTOS软件定时器的原理以及使用实例

FreeRTOS软件定时器的使用 一.软件定时器介绍二.软件定时器工作原理三.创建软件定时器四.总结 一.软件定时器介绍 我们知道&#xff0c;如果是裸机系统的话&#xff0c;定时器都是由硬件中断完成的。还有一个中断服务函数。而FreeRTOS是一个操作系统&#xff0c;它提供了软件定…

初出茅庐的小李博客之USB设备开发快速上手

1.USB基础知识介绍 这里有一篇文章写的非常好不再重复造轮子 USB基础知识介绍&#xff1a;https://blog.csdn.net/Richard_Brown/article/details/106602288 2.USB设备介绍 常见的USB设备&#xff1a;U盘、鼠标、MP3、移动硬盘、数码相机、键盘、游戏杆、USB摄像头、USB打印…

STM32之17.PWM脉冲宽度调制

一LED0脉冲宽度调制在TIM14_CHI&#xff0c;先将LED&#xff08;PF9&#xff09;代码配置为AF推挽输出模式&#xff0c;将PF9引脚连接到TIM14&#xff0c; #include <stm32f4xx.h>static GPIO_InitTypeDef GPIO_InitStruct;void Led_init(void) {//打开端口F的硬件时钟&a…

STM32CubeMx之freeRTOS定时器使用

需要修改定时器时钟 xTimerChangePeriod(tim1Handle,500,200);//发送队列等待时间 第二个参数为修改的ms xTimerStart(tim1Handle,100);//开启定时器 xTimerStop(tim1Handle,100);//关闭定时器 一定注意定时器任务优先级 要大一点 不然会使用不了

9 . 时钟系统学习

STM32 时钟树学习记录 时钟树介绍 在STM32 时钟系统中&#xff0c;有5 个重要的时钟源&#xff1a; 分别是LSI、LSE、HSI、HSE、PLL。 按照时钟频率分可分为高速时钟源和低速时钟源&#xff0c;在这5 个中HSI&#xff0c;HSE 以及PLL 属于高速时钟&#xff0c;LSI 和LSE 属于…

STM32的这些经典功能,你知道吗?

Cortex-M3芯片简介 1、关于ARMv7的知识了解 在这个版本中&#xff0c;内核架构首次从单一款式变成3种款式。 款式A&#xff1a;设计用于高性能的“开放应用平台”——越来越接近电脑了 。 款式R&#xff1a;用于高端的嵌入式系统&#xff0c;尤其是那些带有实时要求的——又…

很简单点亮板载LED---以STM32F103C8为例

对于小白先走第一步熟悉相关环境配置&#xff0c;代码编译和下载&#xff0c;点亮LED就为成功。 但网上stm32资料较为复杂&#xff0c;按教程去实践易出错&#xff0c;容易怀疑自己怀疑自己的PCB有问题&#xff0c;姑需要最简单最小干扰去实现LED点亮。 准备硬件&#xff1a;1…

51xunji双PWM 002 蓝牙 L9110电机驱动

//20220924 #include <reg52.h>sbit TestPin P1^0;//循迹&#xff0c;四路循迹 //LS1 LS0 MS RS0 RS1 //sbit LS1 P2^4; sbit LSe0 P2^3; sbit RSe0 P2^1; //sbit RS1 P2^0;sbit LPWM0 P1^2; sbit LPWM1 P1^3; sbit RPWM0 P1^4; sbit RPWM1 P1^6;//全局变量 unsi…

1.3 NodeMCU开发板的接入点模式

1.3 NodeMCU开发板的接入点模式 物联网开发教程 接入点模式&#xff08;Access Point, 也称 AP&#xff09; 在这种模式下&#xff0c;NodeMCU会建立WIFI给其他设备进行连接 相关函数和库 #include <ESP8266WiFi.h> 这是ESP8266WIFI库&#xff0c;后面有一些函数是来自这…

stm32f4工xun案例代码04 循迹整个地图以及小车控制

//避障 void Collision_Avoidance(void) {Set_Go_Step(300,300);while(!Check_Go_Step(80));tracking_flag0;car_speed_forward0; // delay_ms(400);Set_Spin_Step(90,800);while(!Check_Spin_Step(5));set_spin_step_flag1;Set_Go_Step(500,800);while(!Check_Go_Step(80)); /…

stm32F407——第二个底板100*100 100*120

加粗样式 https://blog.csdn.net/lmf666/article/details/124523734?ops_request_misc%257B%2522request%255Fid%2522%253A%2522166093078016782350868828%2522%252C%2522scm%2522%253A%252220140713.130102334.pc%255Fblog.%2522%257D&request_id1660930780167…

stc8a8k--spi--74hc595测试

#include <stc8a8k.h> #include <intrins.h> #include <stdlib.h> #include <stdio.h>//定时器0&#xff0c;闪烁的定时器 //定时器1&#xff0c;预留 //定时器2作为串口1de 波特率发生器 //定时器3作为预留 //定时器4&#xff0c;作为系统时钟&#x…

程序、代码、硬件常见的英文缩写

附件1 常用单词缩写&#xff08;英文&#xff09;&#xff08;元音&#xff1a;aeiou&#xff09; 全词 缩词/简写 中译 全词 缩词/简写 中译 指令内核相关类 source src 源头 default def 默认 destination dst或des 目的地&#xff0c;目标 define def 定义…

taojinchi HMI _stm32f1

/*********************************************************************************/ //STM32串口示例程序 //更多资料&#xff1a;www.tftmcu.taobao.com //单片机STM32F103VCT6 外部晶振8MHZ 波特率&#xff1a;9600 /**********************************…

Keil和STM32Cube入门STM32微控制器

入门配置 | 实例1-闪烁LED | 实例2-UART发送 | 实例3-外部GPIO中断 | 实例4-UART接收和外部文件 | 实例5-定时器&#xff0c;PWM和看门狗 | 实例6-SPI和I2C通讯 | 实例7-实时操作系统&#xff08;RTOS) 简单闪烁的LED是嵌入式系统的“世界”。 这可能是您的第一个Arduino程序&…

【STM32单片机学习】第一课:STM32那些你该知道的事儿

【朱老师课程总结 侵删】 第一部分、章节目录 3.1.1.STM32和STC51开发 3.1.2_3.STM32的来历背景 3.1.4.STM32简单中文手册带读 3.1.5.STM32的各种仿真器调试器是怎么回事 3.1.6.STM32的标准外设库、 HAL库、LL库 3.1.7.本课程使用的开发板介绍 第二部分、章节介绍 3.1.1.STM3…

2023最新版本~KEIL5使用C++开发STM32

先看效果 开始教学 因为是第一次写这个配置教程 我会尽量详细些 打开一个Keil工程 移除本地core 添加在线core 第一次编译代码 不会有报错 修改main.c文件类型为C 点击魔术棒 把ARM编译器修改为V6 第二次编译会报错语法不兼容 我把汇编部分的这些代码做了…

STM32——RTC实时时钟

文章目录 Unix时间戳UTC/GMT 时间戳转换BKP简介BKP基本结构读写BKP备份寄存器电路设计关键代码 RTC简介RTC框图RTC基本结构硬件电路RTC操作注意事项读写实时时钟电路设计关键代码 Unix时间戳 Unix 时间戳&#xff08;Unix Timestamp&#xff09;定义为从UTC/GMT的1970年1月1日…

【【萌新的STM32学习-15】】

萌新的STM32学习-15 我们现在考虑需要把IO应该设置在什么模式 什么样的输出模式 暂时不考虑复用模式 只用在 开漏和推挽两种情况下 推挽的优点是可以输出高低电平 驱动能力强 开漏必须考虑是否有内部上拉或者外部上拉 通过一个按键控制一个LED灯亮灭 按键是输入 LED亮灭是输…

ROS与STM32通信(二)-pyserial

文章目录 下位机上位机自定义msg消息发布订阅 ROS与STM32通信一般分为两种&#xff0c; STM32上运行ros节点实现通信使用普通的串口库进行通信&#xff0c;然后以话题方式发布 第一种方式具体实现过程可参考上篇文章ROS与STM32通信-rosserial&#xff0c;上述文章中的收发频率…

Keil开发STM32单片机项目的三种方式

STM32单片机相比51单片机&#xff0c;内部结构复杂很多&#xff0c;因此直接对底层寄存器编码&#xff0c;相对复杂&#xff0c;这个需要我们了解芯片手册&#xff0c;对于复杂项目&#xff0c;这些操作可能需要反复编写&#xff0c;因此出现了标准库的方式&#xff0c;对寄存器…

信看课堂笔记—电路若只如初见

本节课结合我们模块经常遇到的电子元器件和电路讲解下原理和方案选型 认识电阻、电容和电感 以下是电阻、电容和电感的作用的简要对比表格&#xff1a; 作用 电阻 电容 电感 限制电流 通过阻碍电流流动&#xff08;欧姆定律IU/R&#xff09; 阻止直流电流通过 随频…

【学习FreeRTOS】第2章——FreeRTOS基础知识

1.任务调度 1.1.任务调度简介 调度器就是使用相关的调度算法来决定当前需要执行的哪个任务FreeRTOS 一共支持三种任务调度方式&#xff1a; 抢占式调度&#xff1a;针对优先级不同的任务&#xff0c;每个任务都有一个优先级&#xff0c;优先级高的任务可以抢占优先级低的任务…

20、stm32使用FMC驱动SDRAM(IS42S32800G-6BLI)

本文将使用安富莱的STM32H743XIH板子驱动SDRAM 引脚连接情况 一、CubeMx配置工程 1、开启调试口 2、开启外部高速时钟 配置时钟树 3、开启串口1 4、配置MPU 按照安富莱的例程配置&#xff1a; /* ********************************************************************…

STM32--EXTI外部中断

前文回顾---STM32--GPIO 相关回顾--有关中断系统简介 目录 STM32中断 NVIC EXTI外部中断 AFIO EXTI框图 旋转编码器简介 对射式红外传感器工程 代码&#xff1a; 旋转编码器工程 代码&#xff1a; STM32中断 先说一下基本原理&#xff1a; 1.中断请求发生&#xff1a…

【STM32】利用CubeMX对FreeRTOS用按键控制任务

对于FreeRTOS中的操作&#xff0c;最常用的就是创建、删除、暂停和恢复任务。 此次实验目标&#xff1a; 1.创建任务一&#xff1a;LED1每间隔1秒闪烁一次&#xff0c;并通过串口打印 2.创建任务二&#xff1a;LED2每间隔0.5秒闪烁一次&#xff0c;并通过串口打印 3.创建任…

5.8.webrtc事件处理基础知识

在之前的课程中呢&#xff0c;我向你介绍了大量web rtc线程相关内容&#xff0c;今天呢&#xff0c;我们来看一下线程事件处理的基本知识。首先&#xff0c;我们要清楚啊&#xff0c;不同的平台处理事件的API是不一样的&#xff0c;这就如同我们当时创建线程是类似的&#xff0…

cortex-A7核LED灯实验--STM32MP157

实验目的&#xff1a;实现LED1 / LED2 / LED3三盏灯工作 一&#xff0c;分析电路图 1&#xff0c;思路 分析电路图可知&#xff1a; 网络编号 引脚编号 LED1 PE10 LED2 > PF10 LED3 > PE8 2&#xff0c;工作原理&#xff1a; 写1&#xff1a;LED灯亮&#xf…

内嵌功能强大、低功耗STM32WB55CEU7、STM32WB55CGU7 射频微控制器 - MCU, 48-UFQFN

一、概述&#xff1a; STM32WB55xx多协议无线和超低功耗器件内嵌功能强大的超低功耗无线电模块&#xff08;符合蓝牙 低功耗SIG规范5.0和IEEE 802.15.4-2011标准&#xff09;。该器件内含专用的Arm Cortex -M0&#xff0c;用于执行所有的底层实时操作。这些器件基于高性能Arm …

stm32之9.中断优先级配置

主函数main.c #include <stm32f4xx.h> #include "led.h" #include "key.h"#define PAin(n) (*(volatile uint32_t *)(0x42000000 (GPIOA_BASE0x10-0x40000000)*32 (n)*4)) #define PEin(n) (*(volatile uint32_t *)(0x42000000 (GP…

STM32F103 4G Cat.1模块EC200S使用

一、简介 EC200S-CN 是移远通信最近推出的 LTE Cat 1 无线通信模块&#xff0c;支持最大下行速率 10Mbps 和最大上行速率 5Mbps&#xff0c;具有超高的性价比&#xff1b;同时在封装上兼容移远通信多网络制式 LTE Standard EC2x&#xff08;EC25、EC21、EC20 R2.0、EC20 R2.1&a…

STM32F103 USB OTA升级BootLoader (一)

1.配置外部高速晶振 2.勾选USB功能 3.将USB模式配置Virtual Port Com 4.将系统主频配置为72M,USB频率配置为48M. 5.配置好项目名称&#xff0c;开发环境&#xff0c;最后获取代码。 6.修改Flash大小和勾选Use Micro LIB 7.修改main.c代码 #include "main.h" #includ…

ADC芯片CS1238,CS1237介绍和代码

一.芯片介绍 CS1238是一款高精度、低功耗 模数转换芯片&#xff0c;两路差分输入通道&#xff0c;内置温度传感器和高精度振荡器。MCU可以通过2线的SPI 接口SCLK、DRDY与CS1237进行通信&#xff0c;对其进行配置&#xff0c;例如通道选择、PGA选择、输出速率选择等。下面是CS1…

LVGL学习 stm32f407-board-lvgl v8.3移植

LVGL学习 stm32f407-board-lvglv8.3移植 移植过程有问题&#xff0c;请参考正点原子的教程或者视频 硬件平台 STM32F407ZGT6核心板3.2寸屏幕 LVGL LVGL&#xff08;Light and Versatile Graphics Library&#xff09;是一个免费的开源图形库&#xff0c;提供创建具有易 于…

STM32 物联网 4G CAT1 SIMCOM A7680C 源码

基于状态机编写4G模块驱动函数 #include "bsp.h" char LTE_TX[512],LTE_RX[512]; int LTE_TX_length,LTE_RX_length; char U1_TX_data[512],U1_RX_data[512]; char LTE_DATA_buf[512]; char LTE_COM_buf[512]; char LTE_SEND_buf[512];unsigned char U1_TX_flag,U1…

【【萌新的STM32-22中断概念的简单补充】】

萌新的STM32学习22-中断概念的简单补充 我们需要注意的是这句话 从上面可以看出&#xff0c;STM32F1 供给 IO 口使用的中断线只有 16 个&#xff0c;但是 STM32F1 的 IO 口却远远不止 16 个&#xff0c;所以 STM32 把 GPIO 管脚 GPIOx.0~GPIOx.15(xA,B,C,D,E,F,G)分别对应中断…

【STM32】串口初步使用

本文只作为学习笔记&#xff0c;对串口进行一个简单的介绍&#xff0c;正确的使用方式还需要进行实际的调试 通信的类型&#xff1a; 同步 异步 单工 双工 串行 并行 STM32的串口通信&#xff1a; 配置片上外设的控制寄存器&#xff0c;通信双方进行相同的配置&#xff0c;…

结构体对齐原理及在STM32中的设计原则和实现

在嵌入式系统开发中&#xff0c;结构体作为一种常见的数据组织方式&#xff0c;在内存中的布局方式对于程序性能和内存占用具有重要影响。本文将深入探讨单片机C语言中的结构体对齐原理、重要性以及不同的对齐方式&#xff0c;并通过示例演示结构体对齐如何影响内存占用、访问性…

STM32+RTThread配置以太网无法ping通,无法获取动态ip的问题

记录一个非常蠢的问题&#xff0c;今天在移植rtthread的以太网驱动的时候出现无法获取动态ip的问题&#xff0c;问题如下&#xff1a; 设置为动态ip时不管是连接路由器还是电脑主机都无法ping通&#xff0c;也无法获取dns地址。 设置为静态ip时无法ping通主机。 使用wireshark…

【STM32】学习笔记(TIM定时器)-江科大

TIM&#xff08;Timer&#xff09;定时器 定时器可以对输入的时钟进行计数&#xff0c;并在计数值达到设定值时触发中断 16位计数器、预分频器、自动重装寄存器的时基单元&#xff0c;在72MHz计数时钟下可以实现最大59.65s的定时 不仅具备基本的定时中断功能&#xff0c;而且…

基于STM32F103C8T6的系统板设计

针对兆易创新旗下型号GD32F103C8T6(兼容STM32F103C8T6)芯片设计的方案验证板,整板由micro USB供电通过1117稳压管稳压输出3.3V供电,中间配备唤醒按键和复位按键,两侧是从芯片引脚引出的IO口用于调试,其中有3.3V、5V电压选择,BOOT0模式选择,SWD调试接口,电源指示灯以及…

PID 算法

1.1 概述 比例&#xff08;Proportion&#xff09;积分&#xff08;Integral&#xff09;微分&#xff08;Differential&#xff09;控制器&#xff08;PID控制器或三项控制器&#xff09;是一种采用反馈的控制回路机制&#xff0c;广泛应用于工业控制系统和需要连续调制控制的…

【正点原子STM32连载】第二十三章 高级定时器互补输出带死区控制实验 摘自【正点原子】APM32F407最小系统板使用指南

第二十三章 高级定时器互补输出带死区控制实验 本章将介绍使用APM32F407输出带死区和刹车控制的两路互补PWM。通过本章的学习&#xff0c;读者将学习到高级定时器的互补输出、死区插入和刹车的功能的使用。 本章分为如下几个小节&#xff1a; 23.1 硬件设计 23.2 程序设计 23.…

cortex-A7核PWM实验--STM32MP157

实验目的&#xff1a;驱动风扇&#xff0c;蜂鸣器&#xff0c;马达进行工作 目录 一&#xff0c;PWM相关概念 有源蜂鸣器和无源蜂鸣器 二&#xff0c;分析电路图&#xff0c;框图 三&#xff0c;分析RCC章节 1&#xff0c;确定总线连接 2&#xff0c;根据总线内容确定基…

MOS的减速加速电路设计

引言&#xff1a;在开始讲解MOS的减速加速电路之前&#xff0c;我们还是先来回顾MOS开启与关闭的根本机制。以NMOS为例&#xff0c;开启NMOS本质是对G极进行充电&#xff0c;至Cgs电荷充满&#xff0c;G极才会达到控制端电平值或者开启阈值&#xff0c;关断NMOS时&#xff0c;G…

【STM32】学习笔记(TIM定时器)

TIM&#xff08;Timer&#xff09;定时器 定时器可以对输入的时钟进行计数&#xff0c;并在计数值达到设定值时触发中断 16位计数器、预分频器、自动重装寄存器的时基单元&#xff0c;在72MHz计数时钟下可以实现最大59.65s的定时 不仅具备基本的定时中断功能&#xff0c;而且…

STM32-串口通信(串口的接收和发送)

文章目录 STM32的串口通信一、STM32里的串口通信二、串口的发送和接收串口发送串口接收 三、串口在STM32中的配置四、串口接收的两种实现方式1. 需要更改的地方2. 查询RXNE标志位3. 使用中断 总结 STM32的串口通信 本文在于记录自己的学习过程中遇到的问题和总结&#xff0c;各…

FLASH读写数据

目录 嵌入式 Flash大概了解 数据手册2.3.2章节 结构图f407 等待周期 Flash 控制寄存器解锁 编程/擦除并行位数 擦除 编程&#xff08;写入&#xff09; 工程程序 嵌入式 Flash大概了解 可以从flash区域启动程序&#xff1b;大概是程序区可以在flash&#xff0c;所以是可以…

Python与STM32串口通讯

最近&#xff0c;苦于STM32与上位机Python的串口通讯&#xff0c;实在完成不了通讯&#xff0c;不知道到底是什么原因&#xff0c;STM32与上位机的串口调试软件是可以成功完成数据传输的&#xff0c;但用Python就不知道为啥不能完成通信&#xff0c;网上关于这方面的东西也不能…

Keil 编译 Debug

# 头文件无法导入进来 # 导入头文件&#xff0c;只有函数声明&#xff0c;但缺少函数实现 已经导入了air32f10x_gpio.h但是没有导入 .c&#xff0c;就导致 编译出错出现undefined symbol (某个函数)&#xff0c;这时候按照下面的操作&#xff0c;导入外设模块就好。

stm32之30.DMA

DMA&#xff08;硬件加速方法&#xff09;一般用于帮运比较大的数据&#xff08;如&#xff1a;摄像头数据图像传输&#xff09;&#xff0c;寄存器-》DMA-》RAM 或者 RAM-》DMA-》寄存器提高CPU的工作效率 源码-- #include "myhead.h" #include "adc.h"#…

【STM32】学习笔记(EXTI)

EXTI外部中断 中断&#xff1a;在主程序运行过程中&#xff0c;出现了特定的中断触发条件&#xff08;中断源&#xff09;&#xff0c;使得CPU暂停当前正在运行的程序&#xff0c;转而去处理中断程序&#xff0c;处理完成后又返回原来被暂停的位置继续运行 中断优先级&#x…

RT-Thread UART

UART 简介 UART&#xff08;Universal Asynchronous Receiver/Transmitter&#xff09;通用异步收发传输器&#xff0c;UART 作为异步串口通信协议的一种&#xff0c;工作原理是将传输数据的每个字符一位接一位地传输。是在应用程序开发过程中使用频率最高的数据总线。 UART …

【STM32】学习笔记(串口通信)

串口通信 通信接口硬件电路电平标准USARTUSART框图 通信接口 串口是一种应用十分广泛的通讯接口&#xff0c;串口成本低、容易使用、通信线路简单&#xff0c;可实现两个设备的互相通信 单片机的串口可以使单片机与单片机、单片机与电脑、单片机与各式各样的模块互相通信&#…

FreeRTOS中断与任务之间同步(Error:..\..\FreeRTOS\portable\RVDS\ARM_CM4F\port.c,422 )

前言&#xff1a; FreeRTOS中&#xff0c;中断需要注意几点&#xff1a; 何时使用中断&#xff1b;中断服务函数&#xff08;ISR&#xff09;要处理的数据量有多大&#xff0c;通常我们希望中断的切换越快越好&#xff0c;也就是说&#xff0c;ISR尽量采用耗时较少的处理方式…

STM32 BOOT 启动配置 ISP升级 介绍

启动配置 在STM32F10xxx里&#xff0c;可以通过BOOT[1:0]引脚选择三种不同启动模式。 启动模式选择引脚启动模式说明BOOT1BOOT0X0主闪存存储器主闪存存储器被选为启动区域01系统存储器系统存储器被选为启动区域11内置SRAM内置SRAM被选为启动区域 在系统复位后&#xff0c; S…

基于STM32F103 实现按键状态机

文章目录 开发板开发环境前言按键消抖按键硬件原理图软件延时实现思路 实验目的代码按键状态按键信息按键相关定义按键底层配置及状态获取 总结 开发板 正点原子STM32F103ZET6战舰 开发环境 stm32cubeMX Clion 前言 在单片机使用按键时&#xff0c;为了消除按键的抖动&…

【Proteus仿真】【STM32单片机】血压心率血氧体温蓝牙

文章目录 一、功能简介二、软件设计三、实验现象联系作者 一、功能简介 系统运行后&#xff0c;LCD1604液晶显示心率、血氧、血压和体温&#xff0c;及其阈值&#xff1b;可通过K3键进入阈值设置模式&#xff0c;K1和K2加减调节&#xff0c;K4确定&#xff1b;当检测心率、血氧…

nrf523832 串口点LED

/* P0.06&#xff1a;串口发送TXD P0.08&#xff1a;串口接收RXD P0.05&#xff1a;串口RTS&#xff1a;发送请求&#xff0c;硬件流控开启时有效 P0.07&#xff1a;串口CTS&#xff1a;发送允许&#xff0c;硬件流控开启时有效 */ #define RX_PIN_NUMBER 8 #define TX_PIN_N…

基于STM32F103C8T6的HC-06蓝牙通信

文章目录 前言 注意看 &#xff01;一、蓝牙通信流程二、怎么实现蓝牙通信1.了解蓝牙模块功能2.了解蓝牙通信本质3.进行AT命令调试4.调试完成&#xff0c;连接通信 三、结尾 前言 注意看 &#xff01; 如果朋友们遇到了如下问题&#xff0c;可以仔细借鉴本文章和另一篇专门讲解…

024 - STM32学习笔记 - 液晶屏控制(一) - LTDC与DMA2D初始

024- STM32学习笔记 - LTDC控制液晶屏 在学习如何控制液晶屏之前&#xff0c;先了解一下显示屏的分类&#xff0c;按照目前市场上存在的各种屏幕材质&#xff0c;主要分为CRT阴极射线管显示屏、LCD液晶显示屏、LED显示屏、OLED显示屏&#xff0c;在F429的开发板上&#xff0c;…

STM32F4X DMA

STM32F4X DMA 什么是DMASTM32F4X DMADMA框图DMA通道DMA仲裁器DMA FIFO DMA传输模式DMA传输方向存储器到存储器存储器到外设外设到存储器 DMA循环模式和普通模式循环模式&#xff08;Circular&#xff09;普通模式&#xff08;Normal&#xff09; DMA源、目标寄存器增量模式DMA例…

12.示例程序(定时器定时中断定时器外部时钟)

目录 定时中断和时钟源选择相关库函数使用 1.定时器初始化配置 2.参数&#xff08;PSC、ARR等&#xff09;更改函数&#xff08;在程序运行过程中修改&#xff09; 3.使用定时器库函数的一些细节 定时器定时中断实例 定时器外部时钟选择 知识点get&#xff1a; 滤波器工作…

STM32入门学习路线

可以说就目前的市场需求来看&#xff0c;stm32在单片机领域已经拥有了绝对的地位&#xff0c;51什么的已经过时了也只能拿来打基础了&#xff0c;最后依然会转到stm32来&#xff0c;也正是因为这样stm32的学习者越来越多&#xff0c;其中不难发现绝大部分的stm32的学习者是在入…

STM32标准库下外设的配置方式

使用STM32标准库&#xff0c;使用的功能如串口USART功能&#xff0c;DMA功能&#xff0c;NVIC功能&#xff0c;I2C功能&#xff0c;SPI功能等都有一个类似的配置流程。 先定义端口和引脚&#xff08;define&#xff09;&#xff0c; 再定义初始化结构体&#xff08;XX_InitTy…

FreeRTOS学习笔记—任务创建和删除

文章目录 一、任务创建和删除API函数1.1 xTaskCreate()函数1.2 xTaskCreateStatic()函数1.3 vTaskDelete()函数 二、任务创建和删除&#xff08;动态方法&#xff09;2.1 任务要求2.2 程序设计2.2.1 创建开始任务2.2.2 创建任务1和任务22.2.3 开启任务调度器 三、总结5.1 删除任…

使用STVD和Cosmic C编译器开发STM8S快速入门指南

STM8是意法半导体&#xff08;STMicroelectronics&#xff09;公司8位微控制器产品线的一个系列&#xff0c;已成为低成本产品开发的微控制器的常用选择。我以前使用过AVR、PIC和其他一些ARM Cortex微控制器&#xff0c;但是可以肯定的是&#xff0c;在某些应用中&#xff0c;它…

STM32单片机编译器Keil环境配置教程

软件介绍 Microcontroller Development Kit&#xff08;简称MDK&#xff09;&#xff0c;是ARM旗下公司Keil为ARM系列单片机开发的一款便捷的开发工具&#xff0c;支持市面上绝大部分的单片机系列。 环境配置 所需文件 将MDK531.exe、Keil.STM32F4xx_DFP.2.13.0.pack、Keil.STM…

STM32定时器学习---基本定时器

STM32F1系列的产品&#xff0c;除了互联网产品外&#xff0c;工作8个&#xff0c;3种定时器&#xff0c;其中一种就是基本定时器。那么STM32单片机的基本定时器如何操作以及编程呢? 下面我们就来详细的了解一下 STM32F1系列的产品&#xff0c;除了互联型产品外&#xff0c;工…

GPIO原理与配置(跑马灯,蜂鸣器,按键)

一。STM32 GPIO固件库函数配置方法 1. 根据需要在项目中删掉一些不用的固件库文件&#xff0c;保留有用的固件库文件   2. 在stm32f10x_conf.h中注释掉这些不用的头文件   3. STM32的IO口可以由软件配置成如下8种模式(4种输入模式&#xff0c;4种输出模式) 分别在CRL寄…

Altium Designer画stm32最小系统

Altium Designer画stm32最小系统一、 安装并注册软件二、 画STM32最小系统三、效果展示四、 原理图生成PCB五、 总结六、 参考资料摘要&#xff1a;Altium Designer&#xff08;AD&#xff09;作为一款优秀的集成电路设计软件值得我们每个对电路和嵌入式感兴趣的读者学习。 关键…

Proteus8.9仿真运行stm32流水灯程序——实例

Proteus8.9仿真运行stm32流水灯程序一、 创建工程二、 配置实验所需原件三、 编写流水灯程序四、 配置芯片五、 实验结果六、 总结七、 参考资料摘要&#xff1a;采用Proteus仿真软件进行虚拟单片机实验&#xff0c;具有比较明显的优势&#xff0c;如涉及到的实验实习内容丰富全…

单片机学习笔记之--SPI通信基础

SPI协议是由摩托罗拉公司提出的通讯协议&#xff0c;中文名即为串行外设接口。这是一种全双工的高速通讯总线&#xff0c;可支持同时输入输出。 通讯引脚 SPI使用3条通讯总线和1条片选线。 MOSI&#xff1a;Master Output Slave Input&#xff0c;顾名思义&#xff0c;即主设…

STM32-HAL库07-软件SPI驱动0.96寸OLED

STM32-HAL库07-软件SPI驱动0.96寸OLED 一、所用材料&#xff1a; STM32VGT6自制控制板 STM32CUBEMX&#xff08;HAL库软件&#xff09; MDK5 二、所学内容&#xff1a; 通过HAL库配置四个GPIO输出口&#xff0c;对其进行软件模拟SPI发送规则&#xff0c;进而驱动OLED进行数…

初出茅庐的小李博客之根据编译时间生成软件版本号

为什么要软件版本号呢&#xff1f; 生成软件版本号是在软件开发和维护过程中非常重要的一项任务&#xff0c;它有很多意义和好处&#xff0c;同时也有多种常见的方法。 标识和追踪&#xff1a;软件版本号是唯一的标识符&#xff0c;用于区分不同版本的软件。这有助于开发人员和…

驱动开发,stm32mp157a开发板的led灯控制实验(再优化),使用ioctl函数,通过字符设备驱动分步注册方式编写LED驱动,完成设备文件和设备的绑定

1.实验目的 编写LED灯的驱动&#xff0c;在应用程序中编写控制LED灯亮灭的代码逻辑实现LED灯功能的控制&#xff1b; 2. LED灯相关寄存器分析 LED1->PE10 LED1亮灭&#xff1a; RCC寄存器[4]->1 0X50000A28 GPIOE_MODER[21:20]->01 (输出) 0X50006000 GPIOE_ODR[10]-&…

stm32---外部中断

一、EXTI STM32F10x外部中断/事件控制器&#xff08;EXTI&#xff09;包含多达20个用于产生事件/中断请求的边沿检测器。EXTI的每根输入线都可单独进行配置&#xff0c;以选择类型&#xff08;中断或事件&#xff09;和相应的触发事件&#xff08;上升沿触发、下降沿触发…

stm32---基本定时器(TIM6,TIM7)

STM32F1的定时器非常多&#xff0c;由两个基本定时器&#xff08;TIM6&#xff0c;TIM7&#xff09;、4个通用定时器&#xff08;TIM2-TIM5&#xff09;和两个高级定时器&#xff08;TIM&#xff11;&#xff0c;TIM&#xff18;&#xff09;组成。基本定时器的功能最为简单&am…

【STM32】片上ADC的初步使用

基于stm32f103系列 基于《零死角玩转 STM32F103—指南者》 ADC简介 stm32f103上的ADC 数量&#xff1a;3 精度:12bit(4096) 通道&#xff1a;ADC1&#xff0c;ADC2均有16个通道&#xff0c;ADC3有8个 功能:   转换结束、注入转换结束和发生模拟看门狗事件时产生中断。   …

stm32单片机之外部脉冲捕获例程

stm32单片机之外部脉冲捕获例程 定时器通道1来捕获外部脉冲&#xff0c;并且当脉冲到来时&#xff0c;通过HAL库的回调函数来处理这个事件。 #include "stm32f4xx_hal.h" // 定义一个TIM_HandleTypeDef结构体 TIM_HandleTypeDef htim1; void SystemClock_Config(…

基于STM32+华为云设计的智能鱼缸

基于STM32设计的物联网智能鱼缸(华为云IOT+手机APP) 一、设计简述 1.1 设计需求 近年来,随着物联网技术的不断发展和普及,越来越多的家庭开始使用智能设备。在这些智能设备中,智能鱼缸作为一种新兴的家庭装饰品备受关注。与传统的鱼缸相比,智能鱼缸具有更高的科技含量和更…

STM32外部复位IC与看门狗冲突,无法复位问题解决方案

使用STM32H743制作了一款飞控&#xff0c;外部复位IC采用MAX809STR,打板完后&#xff0c;烧录飞控固件后大量板子无法正常启动&#xff0c;怀疑是晶振没有起振或MCU未焊接好&#xff0c;检查后均焊接正常&#xff0c;编写裸机LED定时闪烁验证程序可正常运行。经网上查询资料锁定…

万象奥科参展“2023 STM32全国巡回研讨会”—武汉站

9月13日&#xff0c;万象奥科参展“2023 STM32全国巡回研讨会”— 武汉站。此次STM32研讨会将会走进全国11个城市&#xff0c;展示STM32在智能工业、无线连接、边缘人工智能、安全、图形用户界面等领域的产品解决方案及多样化应用实例&#xff0c;深入解读最新的产品技术、解决…

STM32存储左右互搏 I2C总线读写FRAM MB85RC16

STM32存储左右互搏 I2C总线读写FRAM MB85RC16 在较低容量存储领域&#xff0c;除了EEPROM的使用&#xff0c;还有铁电存储器FRAM的使用&#xff0c;相对于EEPROM, 同样是非易失性存储单元&#xff0c;FRAM支持更高的访问速度&#xff0c; 其主要优点为没有EEPROM持续写操作跨页…

【STM32】文件系统FATFS与Flash的初步使用

文件系统简介 简介可以不看&#xff0c;直接看移植步骤 文件系统是介于应用层和底层间的模糊层。底层提供API&#xff0c;比如说使用SDIO或者SPI等读写一个字节。文件系统把这些API组合包装起来&#xff0c;并且提供一些列函数&#xff0c;我们可以使用这些函数进行更进一步的…

GPMC开发笔记(TI开发者推荐笔记)

因为gpmc&#xff08;General-Purpose Memory Controller&#xff09;是TI特有的驱动,gpmc的资料比较少&#xff0c;本人开发gpmc驱动算是摸了很多石头过河的。所以想通过这遍文章可以给也做GPMC驱动开发的后来者有一点参考价值。文章如有瑕疵也请各位批评改正。 1.GPMC介绍 …

PID控制理论

小车实战&#xff1a;最简单的平衡小车【STM32F103RCT6开发板】https://download.csdn.net/download/qq_40818798/10758940

51单片机——LED灯

如下图所示是51单片机的开发板原理图&#xff1a; 我们想要让二极管D1亮&#xff0c;只需要把p20口置低电平即可&#xff0c;只需要把P2寄存器第0位置0 LED原理解释&#xff1a;CPU配置寄存器的值来控制硬件电路达到我们预期效果 例程1&#xff1a;点亮第一个LED #include …

STM32F0xx在增加IAP后APP为什么在main函数中要重映射SRAM

1 前言 在使用F0的片子在增加IAP后&#xff0c;我们经常发现&#xff0c;原来的APP必须增加一段代码&#xff0c;将中断向量表从内部FLASH拷贝到SRAM后再执行REMAP到SRAM&#xff0c;这样操作后APP才能正常运行&#xff0c;这一过程一直困扰着蝶粉们&#xff0c;为什么需要这样…

(工作记录)2020年3月11日至2021年3月15日

1. 恒光源&#xff0c;光电采集板 1.1 计划 刚进入公司&#xff0c;需要快速的接手一些工作。 1.2 设备版本迭代 1.3 调试问题记录 1.3.1 定时中断使用&#xff0c;不进入中断&#xff1f; 1.3.2 采样通道划分 1.3.3 在20us的中断内&#xff0c;使用SPI通讯读取AD值 1.3.4 …

GPIO相关寄存器

1. STM32的GPIO相关寄存器 GPIOx_BRR GPIOx_BSRR GPIOx_CRH GPIOx_CRL GPIOx_IDR GPIOx_LCKR GPIOx_ODR 1.1 输入输出方向的设置 涉及到两个32位的配置寄存器&#xff08;Configuration Register&#xff09;&#xff0c;CRH&#xff0c;CRL。 GPIOB->CRL & 0x0FFFF…

STM32 VDDA和VDD

采用STM32F103RCT6 &#xff0c;按照技术手册 Vref内部已与Vdda相连&#xff0c;而Vdda是为ADC&#xff0c;复位电路&#xff0c;RC PLL供电的。 要求&#xff1a;6组PCBA的ADC,DAC尽量相同 问题&#xff1a;VDDA和VDD的电压每个PCBA相差大&#xff0c;导致ADC,DAC相差大 查询…

STM32 Proteus UCOSII系统拔河小游戏LED模拟-0053

STM32 Proteus UCOSII系统拔河小游戏LED模拟-0053 Proteus仿真小实验&#xff1a; STM32 Proteus UCOSII系统拔河小游戏LED模拟-0053 功能&#xff1a; 硬件组成&#xff1a;STM32F103R6单片机 1个选手1按键1个选手2按键1个重新开始按键7个LED灯1个蜂鸣器 1.单片机程序使用…

systick->load为什么要减1

https://zhidao.baidu.com/question/1308196419533384139.html

串口-RS232/RS485-TTL/CMOS

目录 串口通信基本原理 串口、RS-232、RS-485 &#xff08;1&#xff09;物理接口形式 &#xff08;2&#xff09;电平标准 TTL与CMOS&#xff1a; RS-232、RS-485、RS-422 串口形式 串口通信基本原理 参考【STM32】串口通信基本原理 设备之间的通信方式一般为&#xff…

STM32平衡小车学习总结

目录 STM32f103c8t6引脚功能图&#xff1a; 1. stm32——GPIO工作模式 输入浮空&#xff1a; 输入上拉&#xff1a; 输入下拉&#xff1a; 模拟输入&#xff1a; 开漏输出&#xff1a; 开漏复用功能&#xff1a; 推挽式输出&#xff1a; 推挽式复用功能&#xff1a; 2. 编…

stm32c8t6利用语音识别与播报检测DHT11温湿度

stm32c8t6利用语音识别与播报检测DHT11温湿度其他串口利用printf函数输出文字stm32中u8 u16的格式后字符stm32语音识别与播报检测温湿度其他串口利用printf函数输出文字 首先&#xff0c;你要想用printf函数的话需要配置串口的相关参数&#xff0c;也就是初始化&#xff0c;学…

【福利】嵌入式 物联网 STM32 单片机 ARM C JAVA 学习资料无偿送给大家

我学完了 不知道对大家有用没有 有需要的么&#xff1f; 图片资料上传太麻烦了 有需要标题资料的&#xff0b;求求裙 864588072 找我拿就行 全部打包到网盘了。感谢支持 感谢缘分。

【STM32单片机学习】第五课:STM32标准外设库(SPL 库)

【朱老师课程总结】 第一部分、章节目录 3.5.1.为什么会有标准外设库 3.5.2_3.外设库的结构介绍和之后的学习方法1_2 3.5.4.标准库对硬件信息的封装方式 3.5.5.使用结构体方式访问寄存器的原理 3.5.6.使用结构体方式访问寄存器的实践 3.5.7_8.使用标准库重写LED的程序 3.5.9_1…

【STM32单片机学习】第四课:GPIO控制LED(用寄存器编程)

【朱老师课程总结】 第一部分、章节目录 3.4.1.STM32的GPIO模块数据手册详解1 3.4.2.STM32的GPIO模块数据手册详解2 3.4.3.原理图分析与MDK工程建立 3.4.4.写代码控制GPIO点亮熄灭LED 3.4.5.STM32时钟设置函数移植与讲解1 3.4.6.STM32时钟设置函数移植与讲解2 3.4.7.STM32外设…

STM32单片机(三)第四节:GPIO输入练习2(光敏传感器控制蜂鸣器)

❤️ 专栏简介&#xff1a;本专栏记录了从零学习单片机的过程&#xff0c;其中包括51单片机和STM32单片机两部分&#xff1b;建议先学习51单片机&#xff0c;其是STM32等高级单片机的基础&#xff1b;这样再学习STM32时才能融会贯通。 ☀️ 专栏适用人群 &#xff1a;适用于想要…

使用STM32CubeMX实现led流水灯与串口通信

这货不是目录一、STM32CubeMX生成代码二、keil仿真调试并生成hex文件三、串口通信四、总结参考一、STM32CubeMX生成代码 下载安装STM32CubeMX&#xff0c;打开后界面如下&#xff0c;点击help&#xff0c;下载依赖包 选择自己的板子所对应的包&#xff0c;版本不同问题也不大…

STM32--程序加密

所有STM32的芯片都提供对Flash的保护&#xff0c;防止对Flash的非法访问 - 写保护和读保护。读保护即大家通常说的“加密”&#xff0c;是作用于整个Flash存储区域。一旦设置了Flash的读保护&#xff0c;内置的Flash存储区只能通过程序的正常执行才能读出&#xff0c;而不能通过…

第三十二期-ARM Linux内核的中断(2)

作者&#xff1a;罗宇哲&#xff0c;中国科学院软件研究所智能软件研究中心 上一期中我们介绍了中断的状态&#xff0c;从这一期开始我们将介绍ARM Linux内核处理中断的基本流程。首先我们从ARM处理器和GIC的视角来介绍中断处理的过程。 一、ARM Linux内核中断处理的基本流程…

Keil5中写的软件延时函数不起作用现象解析_ARM_Compiler_volatile关键字

一、问题描述 在学习野火霸天虎F407寄存器点亮LED时&#xff0c;出现实验现象&#xff1a;LED灯不亮&#xff0c;野火霸天虎F407资料。 main.c代码如下&#xff1a; #include "stm32f4xx.h"void Delay(unsigned int count);int main(void) { #if 0/* 第一步&a…

【2021最全】51单片机入门+驱动下载安装+keil下载配置+烧入程序教程

注&#xff1a;我不是标题党 文章目录入门1. 装驱动2. 新建项目3.项目配置4. 新建c程序5. 第一个程序6. 烧入程序6.1 设置选择芯片型号6.2 选择烧入的程序(就是hex文件)6.3 烧入程序点击下载\编程(先点击后打开单片机开关)入门 1. 装驱动 首先你需要安装驱动和烧录程序 下面是…

跌跌撞撞我也进入了STM32的大门

今天开始我开始写我的CSDN博客了&#xff0c;之前学C的时候也零零散散写过几篇&#xff0c;但都是玩儿&#xff0c;太随意了&#xff0c;这次我要坚持下去&#xff0c;或许一年后或几年后我还会回来看看&#xff0c;希望到那时我会发现“嗨我真的进步了不少哈&#xff01;”博客…

STM32F303+LAN9252的EtherCAT实现IO、AD、DA

从去年年末接触EtherCAT总线以来&#xff0c;由于其他一些工作原因&#xff0c;前期设计的基于STM32F303LAN9252开发板一直未能跑通&#xff0c;仅实现了LAN9252这部分电路与Twincat的通讯&#xff0c;MCU与LAN9252未能成功通信交互数据。近段时间又返回重新开始了这部分内容&a…

自己实现 ls命令

实现代码如下(只支持-a 与-l 选项)&#xff1a; #include<stdio.h> #include<unistd.h> #include<stdlib.h> #include<fcntl.h> #include<sys/types.h> #include<sys/stat.h> #include<time.h> #include<dirent.h> #include&…

Linux操作系统简介整理(有待补充)

Linux内核特点&#xff1a; 宏内核可移植性强可裁减的内核模块化网络支持完善稳定性强安全性好支持的设备广泛 Linux系统特点 开放性 开源多用户多任务用户界面良好设备独立性完善的网络功能可靠的系统安全模块化良好的可移植性 Linux内核的五个组成部分 内存管理进程管理…

【STM32智能车】运行状态

【STM32智能车】运行状态 小车状态完整代码 智能车不应该只能前进后退吧&#xff0c;本篇来做其他的小车运行状态。 想想&#xff0c;一辆车有那些状态呢&#xff1f;前进&#xff0c;后退&#xff0c;左转&#xff0c;右转&#xff0c;停止。之前定义了直行。 def go(speed):…

对一阶二阶低通滤波器推导,并用IMU数据验证算法效果

文章背景 一直想学习一下数字信号处理算法&#xff0c;而不是每次遇到数据处理就求平均&#xff0c;求最值&#xff0c;看容差&#xff0c;做滑动窗。。。 数字信号处理算法已经很成熟了&#xff0c;但网上大部分还是用matlab跑仿真&#xff0c;设计几个不同频率的sin信号相加…

零基础自学stm32的一些个人经验

1.首先我们先看看与STM32相关的文档 我们假定大家已经对STM32的书籍或者文档有一定的理解。如不理解&#xff0c;请立即阅读STM32的文档&#xff0c;以获取最基本的知识点。 如果你手上拥有ST官方主推的STM32神舟系列的板子&#xff0c;那么光盘都会配好这些文档&#xff0c;…

单片机工程经验 - 状态机时间片结合

单片机工程经验 - 状态机时间片结合 通常来说&#xff0c;大部分程序使用状态机和时间片的结合就能满足&#xff0c;如果不知道状态机和时间片是什么的可以看我之前的文章 单片机工程经验 - 状态机 单片机工程经验 - 时间片 举例 我们直接上例子&#xff0c;还是点灯&#x…

周游C语言教程16 - typedef

周游C语言教程16 - typedef 这是周游C语言的第十六篇教程&#xff0c;你将在这篇文章里认识typedef。 typedef C语言中提供了typedef关键字他运行你为数据类型取一个新的名字。 typedef unsigned char byte;定义好之后&#xff0c;我们就可以使用byte来定义一个unsigned ch…

从汇编看函数

一、简介 CPU 中央处理器&#xff0c;内部主要包括寄存器、运算器、控制器。 寄存器&#xff1a;存储数据运算器&#xff1a;处理数据控制器&#xff1a;控制硬件IO口的高低电平x0、x1&#xff1a;存放参数的寄存器w0、w1&#xff1a;是寄存器x0、x1的低32位&#xff0c;使用…

带编码器的直流减速电机

首先&#xff0c;什么是编码器&#xff1f; 编码器是将信号或数据进行编制、转换为可用以通讯、传输和存储的信号形式的设备。在这里&#xff0c;编码器就是能够将电机的转动信息&#xff08;比如转速、转动角度等&#xff09;转换为脉冲信号的设备。按照原理可分为&#xff08…

【嵌入式操作系统】实验3:中断及串口编程

文章目录一、实验目的二、实验环境三、实验内容1.实验任务2.实验步骤总结一、实验目的 熟悉STM32 模块的串口的硬件连接&#xff1b;掌握串口的初始化方法&#xff0c;数据发送和接收函数&#xff1b;掌握中断初始化方法&#xff0c;中断服务函数&#xff1b;编程利用PC上的串…

STM32屏幕计时器

目录 一、最终效果二、实现思想三、实现过程3.1 屏幕显示3.2 中断处理 一、最终效果 显示屏显示计时时间&#xff0c;格式为 00:00:00&#xff0c;依次为 时:分:秒&#xff0c;程序运行之后自动计时&#xff0c;当按下按键&#xff0c;计时清零&#xff0c;按下按键采用外部中…

【STM32RT-Thread零基础入门】8. 基于 CubeMX 移植 RT-Thread Nano

硬件&#xff1a;STM32F103ZET6、ST-LINK、usb转串口工具、4个LED灯、1个蜂鸣器、4个1k电阻、2个按键、面包板、杜邦线 文章目录 前言一、cubemx配置二、board.c文件修改2.rtconfig.h文件修改 三、主程序1. main函数2. task函数 总结 前言 利用RT_Thread操作系统实现三种不同的…

项目(智慧教室)第四部分,页面交互功能

一。页面构思 1.标题栏 大标题&#xff1a;智慧教室管理系统 小标题&#xff1a;灯光&#xff0c;报警&#xff0c;风扇&#xff0c;温度&#xff0c;湿度&#xff0c;光照 2.样式设计 背景设置。字体设置&#xff08;字体大小&#xff0c;格式&#xff0c;颜色&#xff09; 3.…

单片机第三季-第一课:STM32基础

官方网址&#xff1a;STMCU中文官网 STM32系列分类&#xff1a; 型号命名原则&#xff1a; STM32F103系列&#xff1a; 涉及到的几个概念&#xff1a; DMA&#xff1a;Direct Memory Access&#xff0c;直接存储器访问。DMA传输将数据从一个地址空间复制到另一个地址空间&…

进入低功耗和唤醒

休眠模式 进入休眠模式 如果使用 WFI 指令进入睡眠模式&#xff0c;则嵌套向量中断控制器 (NVIC) 确认的任意外设中断都会 将器件从睡眠模式唤醒。 如果使用 WFE 指令进入睡眠模式&#xff0c;MCU 将在有事件发生时立即退出睡眠模式。唤醒事件可 通过以下方式产生&#xff…

记:lorawan协议

lorawan协议介绍 lora是由Semtech面向长距离、低功耗、低速率应用而开发的无线调制技术。 LoRaWAN网络通常采用星型拓扑结构&#xff0c; 由拓扑中的网关来转发终端与后台网络服务器间的消息。 网关通过标准IP连接来接入网络服务器&#xff0c; 而终端则通过单跳的 LoRa 或者…

RTThread学习有关的Keil的两个符号 $Sub$ $main 与 $Super$ $main

Keil的两个符号$Sub$ $与 $Super$ $是其做的打“补丁”功能 具体调用方法就是程序中包含有main函数&#xff0c;和 $Sub$ $main 、 $Super$ $main 两个符号 源码先放出来 /* re-define main function */ int $Sub$$main(void) {rtthread_startup();return 0; }/*** brief Thi…

stm32f103zet6移植标准库的sdio驱动

sdio移植 st官网给的标准库有给一个用于st出的评估板的sdio外设实现&#xff0c;但一是文件结构有点复杂&#xff0c;二是相比于国内正点原子和野火的板子也有点不同&#xff0c;因此还是需要移植下才能使用。当然也可以直接使用正点原子或野火提供的实例&#xff0c;但为了熟…

STM32F4X UCOSIII任务

STM32F4X UCOSIII任务 什么是任务UCOS任务任务控制块(OS_TCB)UCOSIII任务的状态任务状态图UCOSIII任务状态 任务优先级任务调度抢占式调度时间片轮转调度任务调度点 任务栈UCOSIII任务常用函数任务创建函数OSTaskCreate任务删除函数OSTaskDel任务挂起函数OSTaskSuspend任务恢复…

蓝桥杯嵌入式创建第一个工程(点亮led灯)

蓝桥杯嵌入式创建第一个工程&#xff08;点亮led灯&#xff09; 一.keil导入stm32G431RX板级芯片包1.1 下载板级芯片包1.2 导入芯片包1.2.1 我们首先打开keil 点击Pack installer,如图**1.2.2 然后选中file→import 如图**1.2.3 选择我们下好的芯片包即可1.2.4 确定安装成功 二…

FPGA开发

https://www.enclustra.com.cn/?bd_vid11435475462206745180 https://www.monolithicpower.cn/design-tools/design-tools/llc-design-tool.html https://www.elecfans.com/article/88/143/2012/20120718280641_2.html

STM32初学-外部RTC时钟芯片DS3231

RTC(Real_Time Clock)即实时时钟&#xff0c;它是电子产品中不可或缺的东西。其最直接的作用就是时钟功能。细心的朋友可以发现&#xff0c;当我们的电脑或者手机没联网时&#xff0c;仍然可以正常显示日期与时钟&#xff0c;这就是RTC的功劳。 RTC的运行无需网络连接&#xff…

STM32送药小车(四):Openmv实现数字识别,巡线以及串口通信

系列文章目录 STM32智能送药小车&#xff08;三&#xff09;&#xff1a;0.96寸7针OLED的配置与编程_ssfight1的博客-CSDN博客 STM32智能送药小车&#xff08;二&#xff09;&#xff1a;搭建stm32cpp环境_ssfight1的博客-CSDN博客 STM32智能送药小车&#xff08;一&#xf…

【ARM7.5作业】

作业1 作业2 代码实现&#xff1a; head.h #ifndef __UART4_H__ #define __UART4_H__#include "stm32mp1xx_rcc.h" #include "stm32mp1xx_gpio.h" #include "stm32mp1xx_uart.h"//初始化相关操作 void hal_uart4_init();//发送一个字符 void h…

电赛电源驱动讲解

电源 主要用到的是降压&#xff0c;LDO&#xff1a;线性稳压芯片 线性稳压电源主要用的是DC-DC芯片 LM2940 AMS1117 LM7815 稳压好不好看纹波 缺点&#xff1a;受功率限制&#xff0c;不能过大电流 DC-DC 类芯片&#xff1a;LMR16020 TPS562200 可以过1A、2A的电流 缺点&#…

【STM32】 工程

&#x1f6a9; WRITE IN FRONT &#x1f6a9; &#x1f50e; 介绍&#xff1a;"謓泽"正在路上朝着"攻城狮"方向"前进四" &#x1f50e;&#x1f3c5; 荣誉&#xff1a;2021|2022年度博客之星物联网与嵌入式开发TOP5|TOP4、2021|2022博客之星TO…

一个程序员的工作日记--每天就干两件事,一年后让别人刮目相看

每天就干两件事&#xff0c;一年后让别人刮目相看。 一、早上布局。 01.今天做什么&#xff1f; 02.为什么做&#xff1f; 03.如何做&#xff08;流程、步骤、方法&#xff09; 04.我需要什么资源&#xff1f; 05.遇到问题&#xff0c;找谁来支持&#xff1f; 晚上复盘。…

STM32电源名词解释

STM32电源架构 常用名词 VCC Ccircuit 表示电路&#xff0c;即接入电路的电压。 VDD Ddevice 表示器件&#xff0c; 即器件内部的工作电压。 VSS Sseries 表示公共连接&#xff0c;通常指电路公共接地端电压。 VDDA Aanalog 表示模拟&#xff0c;是模拟电路部分的电源。主要为…

【STM32】USART IDLE + DMA 异常解决方案

背景 又出 BUG 呗~ 设计背景 之前使用 STM32F207 做了一个 UART -> I2C 的转接板。UART 部分是用来与上位机进行交互的&#xff0c;接收数据采用 IDLE 中断 DMA 的方式&#xff0c;发送数据采用阻塞的方式。上位机可以通过指令触发中转板定时采集 Slave 的数据&#xff…

【STM32】JTAG IO复用 (PB4、PB3、PA15)

最近在使用STM32F103VCT6 做项目时&#xff0c;其中PA15的IO一直输出高电平。 查看了好多次程序&#xff0c;发现IO初始化的程序也没有问题&#xff0c; 后来又试了直接将MCU的程序全擦掉&#xff0c;仍是输出3.3V. 最后在芯片的数据手册上&#xff0c;看到了管脚的复用内容…

WINODWS平台搭建STM32开发环境

以STM32L052为例&#xff0c;介绍如何使用STM32CubeMX生成代码&#xff0c;并导入到SW4STM32开发环境。将编写的Blinky闪烁程序生成bin文件&#xff0c;并下载到NUCLEO开发板。http://www.yiboard.com/thread-605-1-1.html

stm32系统移植(1)

文章目录交叉编译工具安装Uboot 源码编译导入配置文件编译源码tf-a 源码编译trustedopteeoptee trustedoptee-os编译Linux源码编译编译设备树编译内核模块Yocto构建系统导入编译配置编译交叉编译工具安装 导入sdk 并查看安装是否正确 Uboot 源码编译 导入配置文件 make st…

【【萌新的STM32学习-7】】

萌新的STM32学习-7 MAP 文件是MDK代码编译之后&#xff0c;产生的集程序&#xff0c;数据及IO 空间的一种映射列表文件 map 文件是编译器链接时生成的一个文件&#xff0c;它主要包含了交叉链接信息。通过.map 文 件&#xff0c;我们可以知道整个工程的函数调用关系、FLASH 和 …

强大的JTAG边界扫描(4):STM32边界扫描应用

文章目录 1. 获取芯片的BSDL文件2. 硬件连接3. 边界扫描测试4. 总结 试想这样一个场景&#xff0c;我们新设计了一款集成了很多芯片的板卡&#xff0c;包括BGA封装的微控制器&#xff0c;如FPGA/MCU&#xff0c;还有LED、按键、串口、传感器、ADC等基本外设。 我们需要测试一下…

嵌入式C语言知识复习和提高

文章目录 前言基础知识main函数防BUG注释&#xff08;重要&#xff09;关键字标识符命名&#xff08;驼峰命名&#xff09;常量类型变量printf1.输出不同类型数据2.输出不同宽度数据3.不同类型数据长度归类 scanf函数运算符sizeof&#xff08;运算符&#xff0c;优先级2&#x…

Stm32_点灯

利用HAL库基本语法实现C8T6点灯操作 引脚配置 PB3、4 、5 //设置为output PB6、7 设置Input且为上拉初始化代码&#xff1a; 由于3、4、5引脚默认输出为0灯泡默认点亮所以要将他们初始化为1 void Inint(){//初始化灯泡函数熄灭HAL_GPIO_WritePin(GPIOB, GPIO_PIN_3, GPIO_PI…

【软件STM32cubeIDE下STM32H743xx使用:看门狗iwdg+复位标志位-基础样例】

软件STM32cubeIDE下STM32H743xx使用&#xff1a;看门狗iwdg复位标志位-基础样例 1、前言2 、实验环境3、自我总结&#xff08;1&#xff09;对于看门狗&#xff08;Iwdg&#xff09;:&#xff08;2&#xff09;对于复位标志位&#xff08;RCC_CSR&#xff09;&#xff1a; 4 、…

STM32-无人机-电机-定时器基础知识与PWM输出原理

电机控制基础——定时器基础知识与PWM输出原理 - 掘金单片机开发中&#xff0c;电机的控制与定时器有着密不可分的关系&#xff0c;无论是直流电机&#xff0c;步进电机还是舵机&#xff0c;都会用到定时器&#xff0c;比如最常用的有刷直流电机&#xff0c;会使用定时器产生PW…

12:STM32---RTC实时时钟

目录 一:时间相关 1:Unix时间戳 2: UTC/GMT 3:时间戳转化 二:BKP 1:简历 2:基本结构 三: RTC 1:简历 2: 框图 3:RTC基本结构 4:RTC操作注意 四:案例 A:读写备份寄存器 1:连接图 2: 步骤 3: 代码 B:实时时钟 1:连接图 2:函数介绍 3:代码 一:时间相关 1:Un…

STM32 Cubemx 通用定时器 General-Purpose Timers同步

文章目录 前言简介cubemx配置 前言 持续学习stm32中… 简介 通用定时器是一个16位的计数器&#xff0c;支持向上up、向下down与中心对称up-down三种模式。可以用于测量信号脉宽&#xff08;输入捕捉&#xff09;&#xff0c;输出一定的波形&#xff08;比较输出与PWM输出&am…

Linux编程之线程池的设计与实现

Linux编程之线程池的设计与实现&#xff08;C98&#xff09; 代码 假设服务器的硬件资源“充裕”&#xff0c;那么提高服务器性能的一个很直接的方法就是空间换时间&#xff0c; 即“浪费”服务器的硬件资源&#xff0c;以换取其运行效率。 提升服务器性能的一个重要方法就是…

E-kit 一体化电子工具箱

详细请见&#xff1a; E-kit: E-kit 一体化电子工具箱 (github.com) 在个人博客页查看本文 E-kit E-kit 一体化电子工具箱&#xff0c;STM32 实现&#xff0c;示波器 函数发生器 幅频特性仪器… 目前此项目已归档 注意&#xff1a;工程采用 GB2312 编码 基本功能 STM32F1…

STM32单片机——ADC数据采集

STM32单片机——ADC数据采集 ADC相关理论概述CubeMX工程配置HAL库程序设计固件库程序设计 参考博文1&#xff1a;STM32——ADC采集参考博文2&#xff1a;2022年8月12日STM32——ADC采集 ADC相关理论概述 ADC是什么 全称&#xff1a;Analog-to-Digital Converter&#xff0c;指…

【深入理解Linux内核锁】六、信号量

我的圈子: 高级工程师聚集地 我是董哥,高级嵌入式软件开发工程师,从事嵌入式Linux驱动开发和系统开发,曾就职于世界500强企业! 创作理念:专注分享高质量嵌入式文章,让大家读有所得! 文章目录 1、信号量介绍2、信号量的API3、API实现3.1 semaphore3.2 sema_init3.3 down…

GE IS220PDIAH1A 336A4940CSP1 控制主板模块

GE IS220PDIAH1A 336A4940CSP1 是一款控制主板模块&#xff0c;通常用于工业自动化和控制系统中。以下是可能与这种控制主板模块相关的一些产品功能&#xff1a; 信号处理&#xff1a; GE IS220PDIAH1A 336A4940CSP1控制主板模块通常负责信号处理&#xff0c;可以接收、放大、滤…

驱动开发--day2(内核不同模块的相互访问、字符设备驱动、led控制实验代码及现象)

实现三盏灯的控制&#xff0c;编写应用程序测试 head.h #ifndef __HEAD_H__ #define __HEAD_H__#define LED1_MODER 0X50006000 #define LED1_ODR 0X50006014 #define LED1_RCC 0X50000A28#define LED2_MODER 0X50007000 #define LED2_ODR 0X50007014#endif mychrdev.c #inc…

基于stm32f103rct6的呼吸灯实现

一、PWM 我们可以通过改变灯的有效电压占空比来实现呼吸灯效果。其中我们要用到PWM&#xff08;脉宽调制&#xff09;&#xff0c;通过pwm我们可以来改变高电平的占空比 占空比&#xff1a;在一个周期中&#xff0c;高电平所占整个周期的百分比 具体如图&#xff1a; 当我们用…

F4内存管理

指定使用特定地址 Keil MDK将变量固定到指定地址_keil 定义数据到指定区域_Little_Star_W的博客-CSDN博客

正点原子lwIP学习笔记——Socket接口UDP实验

1. Socket接口UDP连接配置 Socket接口的UDP配置流程如下&#xff1a; sin_family 设置为 AF_INET 表示 IPv4 网络协议&#xff1b;sin_port 为设置端口号&#xff0c; 可设置为 8080&#xff1b;sin_addr.s_addr 设置本地 IP 地址&#xff1b;调用函数 Socket 创建 Socket 连…

正点原子lwIP学习笔记——NTP实时时间实验

1. NTP简介 NTP&#xff08;Network Time Protocol&#xff09;网络时间协议基于UDP&#xff0c;用于网络时间同步的协议&#xff0c;使网 络中的计算机时钟同步到UTC&#xff0c;再配合各个时区的偏移调整就能实现精准同步对时功能。 NTP 服务器&#xff08;Network Time Pr…

11:STM32---spl通信

目录 一:SPL通信 1:简历 2:硬件电路 3:移动数据图 4:SPI时序基本单元 A : 开/ 终条件 B:SPI时序基本单元 A:模式0 B:模式1 C:模式2 D:模式3 C:SPl时序 A:发送指令 B: 指定地址写 C:指定地址读 二: W25Q64 1:简历 2: 硬件电路 3:W25Q64框图 4: Flash操作注意…

基于STM32设计的温室大棚种植监测系统(onenet+GPRS通信)

一、设计简述 基于STM32设计的温室大棚种植监测系统(OneNet+GPRS通信) 1.1 设计需求 随着社会经济的快速发展和人们对食品质量安全的日益关注,温室大棚越来越受到人们的青睐。温室大棚可以提供良好的生长环境,使得蔬菜、水果等植物获得更好的生长条件,从而提高产量和质量。…

STM32单片机(四)第一节:OLED调试工具

❤️ 专栏简介&#xff1a;本专栏记录了从零学习单片机的过程&#xff0c;其中包括51单片机和STM32单片机两部分&#xff1b;建议先学习51单片机&#xff0c;其是STM32等高级单片机的基础&#xff1b;这样再学习STM32时才能融会贯通。 ☀️ 专栏适用人群 &#xff1a;适用于想要…

Stm32_标准库_1

代码&#xff1a; #include "stm32f10x.h" // Device headerGPIO_InitTypeDef GPIO_InitStructure;//定义变量结构体int main(void){/*使用RCC开启GPIO的时钟*/RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA, ENABLE);//开启PA端口时钟/*使用GPIO_…

2023版 STM32实战2 按键驱动(电路与代码都讲解)

常规电路(带上拉电阻) 阻值可选3.3/4.7/5.1/10 单位K 偷懒电路 利用GPIO内部的上拉模式 代码&#xff08;直接拷贝使用&#xff09; 这是一个按键控制灯亮灭的demo 为了新手方便我直接都写在了main.c文件 #include "stm32f10x.h"void LED_Init(void) {GPIO_Ini…

【STM32】IAP升级 预备知识

IAP&#xff08;In Application Programming&#xff09;简介 Flash够大的情况下&#xff0c;上电后的程序通过修改 MSP 的方式&#xff0c;可以在一块Flash上存在多个功能差异的程序。 IAP是为了在执行正常功能前&#xff0c;为了升级功能&#xff0c;提前运行的一段程序。这…

STM32 F103C8T6学习笔记2:GPIO的认识—GPIO的基本输入输出—点亮一个LED

今日继续学习使用 STM32 F103C8T6开发板 点亮一个LED灯&#xff0c;文章提供源码&#xff0c;测试工程&#xff0c;实验效果图&#xff0c;希望我的归纳总结会对大家有帮助~ 目录 GPIO的认识与分类 &#xff1a; 引脚安排整理&#xff1a; 定时器的引脚例举&#xff1a; …

RT-thread常用API函数汇总

一.内存管理&#xff1a; 申请内存&#xff1a; void *rt_malloc(rt_size_t size)如果申请成功返回指针&#xff0c;申请失败&#xff0c;返回常量RT_NULL 释放内存&#xff1a; void rt_free(void *rmem)批量设置内存数据&#xff1a; void *rt_memset(void *s, int c, rt_…

STM32入门——IIC通讯

江科大STM32学习记录 I2C通信 I2C&#xff08;Inter IC Bus&#xff09;是由Philips公司开发的一种通用数据总线两根通信线&#xff1a;SCL&#xff08;Serial Clock&#xff09;、SDA&#xff08;Serial Data&#xff09;同步&#xff0c;半双工带数据应答支持总线挂载多设备…

STM32下载ELF文件、可执行bin文件的最小size测试

1、STM32能下载ELF格式的文件吗&#xff1f; 答&#xff1a;可以。因为所谓的bin文件就是ELF文件的.text代码段和.data数据段。 当然前提是下载工具能识别ELF文件格式&#xff0c;STM32下载ELF文件并不意味着STM32可以把ELF download到Flash上&#xff0c;而是下载工具能从EL…

【学习FreeRTOS】第10章——FreeRTOS时间片调度

1.时间片调度简介&#xff08;同第2章1.3&#xff09; 同等优先级任务轮流地享有相同的 CPU 时间(可设置)&#xff0c; 叫时间片&#xff0c;在FreeRTOS中&#xff0c;一个时间片就等于SysTick 中断周期 首先Task1运行完一个时间片后&#xff0c;切换至Task2运行Task2运行完…

【STM32RT-Thread零基础入门】 2. 新建RT-Thread项目

硬件&#xff1a;STM32F103ZET6、ST-LINK、usb转串口工具 文章目录 前言一、新建RT-Thread项目二、项目结构三、构建项目四、下载程序&#xff08;调试器下载&#xff09;五、终端交互总结 前言 RT-Thread的全称是Real Time Thread&#xff0c;顾名思义&#xff0c;它是一个嵌…

【学习FreeRTOS】第6章——FreeRTOS中断管理

【本篇文章的也可参考STM32中断文章http://t.csdn.cn/foF9I&#xff0c;结合着学习效果更好】 1.什么是中断 中断&#xff1a;让CPU打断正常运行的程序&#xff0c;转而去处理紧急的事件&#xff08;程序&#xff09;&#xff0c;就叫中断中断执行机制&#xff0c;可简单概括…

基于STM32的天然气煤气检测报警仿真设计(仿真+程序+讲解)

基于STM32的天然气煤气检测报警仿真设计 演示视频1.主要功能2.仿真3. 程序4. 资料清单&下载链接 仿真图proteus 8.9 程序编译器&#xff1a;keil 5 编程语言&#xff1a;C语言 设计编号&#xff1a;C0081 演示视频 基于STM32的天然气煤气可燃气体检测报警仿真设计 1.主要…

STM32定时器TIM控制

一、CubeMX的设置 1、新建工程&#xff0c;进行基本配置 2、配置定时器TIM2 1&#xff09;定时器计算公式&#xff1a;&#xff08;以下两条公式相同&#xff09; Tout ((ARR1) * PSC1)) / Tclk TimeOut ((Prescaler 1) * (Period 1)) / TimeClockFren Tout TimeOut&…

【Freertos基础教程】任务管理之基本使用

文章目录 前言一、freertos任务管理是什么&#xff1f;二、任务管理涉及到的一些概念1.任务状态2.优先级3.栈(Stack)4.事件驱动5.协助式调度(Co-operative Scheduling) 二、任务的基本操作1.创建任务什么是任务 2.创建任务3.任务的删除4.任务的调度3.简单示例 总结 前言 本fre…

STM32--TIM定时器(1)

文章目录 TIM简介定时器类型 通用定时器预分频器时序计数器时序定时中断基本结构TIM内部中断工程TIM外部中断工程 TIM简介 STM32的TIM&#xff08;定时器&#xff09;是一种非常常用的外设&#xff0c;用于实现各种定时和计数功能。它是基于时钟信号进行计数&#xff0c;并在计…

stm32之PWM呼吸灯

呼吸灯是灯从渐亮到渐灭周而复始形成的一个效果。由于51没有PWM所以需要定时器模拟PWM才能实现呼吸灯的效果&#xff0c;但是stm32的通用定时器是有PWM模式的&#xff0c;所以不需要再用软件模拟&#xff0c;精准度也高。 本实验用的基于stm32f103C8t6。在PB8引脚上接了一个le…

STM32实现PMBus从机程序

最近在野火的STM32F103VET6开发板上实现PMBus从机程序&#xff0c;这个程序参考了以下这篇博客的关于使用中断法实现I2C从机程序&#xff1a;STM32设置为I2C从机模式_iic从机_柒壹漆的博客-CSDN博客 &#xff0c;实测这个程序是可以正常运行的&#xff0c;感谢博主的分享&#…

AP5193 DC-DC恒流转换器 消防应急 灯汽车灯 应急日光灯太阳能灯驱动IC

AP5193是一款PWM工作模式,高效率、外围简单、 内置功率MOS管&#xff0c;适用于4.5-100V输入的高精度 降压LED恒流驱动芯片。电流2.5A。AP5193可实现线性调光和PWM调光&#xff0c;线性调光 脚有效电压范围0.55-2.6V. AP5193 工作频率可以通过RT 外部电阻编程来设定&#xff0c…

stm32---定时器输入捕获

一、输入捕获介绍 在定时器中断实验章节中我们介绍了通用定时器具有多种功能&#xff0c;输入捕获就是其中一种。 STM32F1除了基本定时器TIM6和TIM7&#xff0c;其他定时器都具有输入捕获功能 。输入捕获可以对输入的信号的上升沿&#xff0c;下降沿或者双边沿进行捕获&#xf…

STM32--PWR电源控制

文章目录 PWR电源电源管理器上电复位&#xff08;POR&#xff09;和掉电复位&#xff08;PDR&#xff09; 可编程电压监测器&#xff08;PVD&#xff09;低功耗模式睡眠模式停止模式待机模式 睡眠模式工程停止模式待机模式 PWR STM32的PWR模块是其电源管理系统的核心部分&…

【Proteus仿真】【STM32单片机】基于单片机的智能晾衣架控制系统

文章目录 一、功能简介二、软件设计三、实验现象联系作者 一、功能简介 系统运行后&#xff0c;LCD1604显示传感器检测的温湿度、光线强度和风速&#xff0c;工作模式&#xff0c;以及相应阈值&#xff0c;系统工作状态等&#xff1b;系统默认为自动模式&#xff0c; 可通过K4…

采集输入、信号转换与中断响应:深入研究STM32单片机在工业自动化中的角色

引言&#xff1a; 工业自动化领域的快速发展离不开先进的控制技术和可靠的硬件平台。作为一种常用的嵌入式系统解决方案&#xff0c;STM32单片机在工业自动化中扮演着重要的角色。该单片机通过采集输入信号、进行信号转换和中断响应等功能&#xff0c;为工业自动化系统的高效运…

STM32单片机入门学习(四)-蜂鸣器

蜂鸣器接线 低平蜂鸣器&#xff0c;低电平发声&#xff0c;高电平不发声&#xff0c; 三个排针&#xff0c;VCC接3.3v&#xff0c;GND接地&#xff0c;I/O接A0口&#xff0c;如图&#xff1a; 蜂鸣器代码&#xff1a;响一秒停半秒 #include "stm32f10x.h" #includ…

构建基于Apache Mynewt的传感器网络应用程序:一个专为STM32 Blue Pill和nRF52设计的C语言教程

第一部分&#xff1a;引言和STM32 Blue Pill设置 1. 引言 在当今的智能设备和IoT时代&#xff0c;传感器网络在各种应用中发挥着关键作用&#xff0c;从家庭自动化到工业自动化等等。为了有效地部署这些网络&#xff0c;开发人员需要一个强大、灵活且轻量级的操作系统。Apache…

STM32存储左右互搏 I2C总线读写FRAM MB85RC1M

STM32存储左右互搏 I2C总线读写FRAM MB85RC1M 在较低容量存储领域&#xff0c;除了EEPROM的使用&#xff0c;还有铁电存储器FRAM的使用&#xff0c;相对于EEPROM, 同样是非易失性存储单元&#xff0c;FRAM支持更高的访问速度&#xff0c; 其主要优点为没有EEPROM持续写操作跨页…

stm32(GD32,apm32),开优化后需要特别注意的地方

提到优化就不得不提及 volatile 使用场景 1&#xff1a;中断服务程序中修改的供其它程序检测的变量&#xff0c;需要加volatile&#xff1b; : 2&#xff1a;多任务环境下各任务间共享的标志&#xff0c;应该加volatile&#xff1b; 3&#xff1a;并行设备的硬件寄存器&#x…

华大芯片HC32L110C6PA(探索)

在现在用华大的芯片比较多整理了一些常用的函数和方法。 1,常用的端口操作库函数 IO口输出低电平 原型 en_result_t Gpio_ClrIO(en_gpio_port_t enPort, en_gpio_pin_t enPin) 举例 Gpio_ClrIO(GpioPortA ,GpioPin1 ); //PA01端口输出…

RTT(RT-Thread)串口设备(RTT保姆级教程)

目录 UART串口设备 串口概述 访问串口设备接口 数据发送方法 数据接收方法 串口设备使用流程 串口中断接受实例 串口配置及串口发送 串口中断接收 DMA接收 UART串口设备 串口概述 本章主要介绍串口设备在RT-Thread操作系统中应用层如何使用。关于串口设备的使用&am…

【【萌新的STM32学习-9】】

萌新的STM32学习-9 我们在使用某个外设&#xff0c;必须线使能该外设时钟 SYSTEM 文件夹里面的代码由正点原子提供&#xff0c;是 STM32F1xx 系列的底层核心驱动函数&#xff0c; 可以用在 STM32F1xx 系列的各个型号上面&#xff0c;方便大家快速构建自己的工程。本章&#xf…

STM32 F103C8T6学习笔记8:0.96寸单色OLED显示屏显示字符

使用STM32F103 C8T6 驱动0.96寸单色OLED显示屏: OLED显示屏的驱动&#xff0c;在设计开发中OLED显示屏十分常见&#xff0c;因此今日学习一下。一篇文章从程序到显示都讲通。 文章提供源码、原理解释、测试工程下载&#xff0c;测试效果图展示。 目录 OLED驱动原理—IIC通信…

STM32开关输入控制220V灯泡亮灭源代码(附带PROTEUSd电路图)

//main.c文件 /* USER CODE BEGIN Header */ /********************************************************************************* file : main.c* brief : Main program body************************************************************************…

STM32入门——USART

江科大STM32学习记录 通信接口 通信的目的&#xff1a;将一个设备的数据传送到另一个设备&#xff0c;扩展硬件系统通信协议&#xff1a;制定通信的规则&#xff0c;通信双方按照协议规则进行数据收发 串口通信 串口是一种应用十分广泛的通讯接口&#xff0c;串口成本低、…

点亮一颗LED灯

TOC LED0 RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOB,ENABLE);//使能APB2的外设时钟GPIO_InitTypeDef GPIO_Initstructure;GPIO_Initstructure.GPIO_Mode GPIO_Mode_Out_PP;//通用推挽输出GPIO_Initstructure.GPIO_Pin GPIO_Pin_5;GPIO_Initstructure.GPIO_Speed GPIO_S…

嵌入式开发的学习与未来展望:借助STM32 HAL库开创创新之路

引言&#xff1a; 嵌入式开发作为计算机科学领域的重要分支&#xff0c;为我们的日常生活和产业发展提供了无限的可能。STMicroelectronics的STM32系列芯片以其出色的性能和广泛的应用领域而备受关注。而STM32 HAL库作为嵌入式开发的高级库&#xff0c;为学习者提供了更高效、更…

初出茅庐的小李博客之STM32CubeMx配置定时器的编码器模式

STM32CubeMx配置定时器的编码器模式 上次文章写了编码器是如何工作的&#xff0c;今天就来用STM32F103C8T6的TIM3的通道1跟通道2编写一个编码器识别程序。 编程思路&#xff1a; A相:TIM3_CH1 B相:TIM3_CH2 SWITCH:PB5&#xff08;外部中断的方式&#xff09; 实现效果&a…

【学习FreeRTOS】第8章——FreeRTOS列表和列表项

1.列表和列表项的简介 列表是 FreeRTOS 中的一个数据结构&#xff0c;概念上和链表有点类似&#xff0c;列表被用来跟踪 FreeRTOS中的任务。列表项就是存放在列表中的项目。 列表相当于链表&#xff0c;列表项相当于节点&#xff0c;FreeRTOS 中的列表是一个双向环形链表列表的…

stm32g070的PD0/PD2 PA8和PB15

目前在用STM32G070做项目&#xff0c;其中PD2TIMER3去模拟PWM&#xff0c;PD0用作按键检测&#xff0c;测试发现PD0低电平检测没有问题&#xff0c;高电平检测不到&#xff0c;电路图如下图所示&#xff1a; 用万用表测试电平&#xff0c;高电平1.0V左右&#xff0c;首先怀疑硬…

【STM32学习】搭建一个简单的 keil5 工程

一、安装 pack 支持包 pack是支持包文件&#xff0c;当你的板子连接到电脑时&#xff0c;keil5 怎么知道你的板子是哪个型号的&#xff0c;这就需要用到 pack 文件了。Keil 官方下载pack文件的地址&#xff1a;download | device pack 我这里使用的是 STM324 系列 随后直接一…

五、修改官方FreeRTOS例程(STM32F1)

1、官方源码下载 (1)进入FreeRTOS官网&#xff1a;FreeRTOS官网 (2)下载FreeRTOS。(选择带示例的下载) 2、删减目录 (1)下载后解压的FreeRTOS文件如下图所示。 (2)删除下图中红框勾选的文件。 FreeRTOS-Plus&#xff0c;FreeRTOS的生态文件&#xff0c;非必需的。tools&…

新型Windows内核池风水利用工具研究

引用 这篇文章的目的是介绍一种新型基于内核态分页内存和非分页内存的越界写入通用利用技术和相关工具复现. 文章目录 引用简介分页模式利用分析分页模式利用调试分析非分页模式利用分析非分页模式利用调试分析工具使用方法工具使用效果相关引用参与贡献 简介 笔者的在原作者利…

C++MFC 串口通信 上位机

本节介绍 在工业控制中&#xff0c;工控机(一般都基于Windows平台)经常需要与智能仪表通过串口进行通信。串口通信方便易行&#xff0c;应用广泛。 一般情况下&#xff0c;工控机和各智能仪表通过RS485总线进行通信。RS485的通信方式是半双工的&#xff0c;只能由作为主…

STM32--DMA

文章目录 DMA简介DMA特性 DMA框图DMA基本结构DMA请求数据宽度对齐DMA数据转运工程DMAADC多通道 DMA简介 直接存储器存取(DMA)用来提供在外设和存储器之间或者存储器和存储器之间的高速数据传输。无须CPU干预&#xff0c;数据可以通过DMA快速地移动&#xff0c;这就节省了CPU的…

STM32 串口复习

按数据通信方式分类&#xff1a; 串行通信&#xff1a;数据逐位按顺序依次传输。传输速率较低&#xff0c;抗干扰能力较强&#xff0c;通信距离较长&#xff0c;I/O资源占用较少&#xff0c;成本较低。并行通信&#xff1a;数据各位通过多条线同时传输。 按数据传输方向分类&…

STM32F4X USART串口使用

STM32F4X USART串口使用 串口概念起始位波特率数据位停止位校验位串口间接线 STM32F4串口使用步骤GPIO引脚复用函数串口初始化函数串口例程 串口概念 串口是MCU与外部通信的重要通信接口&#xff0c;也是MCU在开发过程中的调试利器。串口通信有几个重要的参数&#xff0c;分别…

STM32CubeMx之esp8266的at指令使用

AT //返回ok则为正常 ATCWMODE1//设置为设备模式 ATCWLAP//搜索附近可用wifi ATCWJAP"CMCC-5-7","chb513029"//连接热点 ATCIPMUX0//设置wifi为单连接 ATCIPSTART"TCP","192.168.37.1",1001//连接tcp 这里遇到了重重问题 这里我…

【正点原子STM32连载】第十六章 基本定时器中断实验 摘自【正点原子】APM32F407最小系统板使用指南

1&#xff09;实验平台&#xff1a;正点原子stm32f103战舰开发板V4 2&#xff09;平台购买地址&#xff1a;https://detail.tmall.com/item.htm?id609294757420 3&#xff09;全套实验源码手册视频下载地址&#xff1a; http://www.openedv.com/thread-340252-1-1.html# 第十…

stm32_ADC电源、通道、工作模式

0、ADC功能框图 1、ADC的电源 1.1、工作电源 VSSAVSS&#xff0c;VDDAVDD&#xff0c;简单来说&#xff0c;通常stm32是3.3V&#xff0c;ADC的工作电源也是3.3V&#xff1b; 1.2、参考电压 VREF和VREF-并不一定引出&#xff0c;取决于封装&#xff0c;如果没有引出则VREF连接到…

【Freertos基础入门】同步互斥与通信

提示&#xff1a;文章写完后&#xff0c;目录可以自动生成&#xff0c;如何生成可参考右边的帮助文档 文章目录 前言一、同步互斥与通信是什么&#xff1f;1.基础概念2.freertos通信可用的手段 二、同步与互斥的概念三、各类通信的区别与适用场景总结 前言 本系列基于stm32系列…

嵌入式不如CS?信了你就上当了!

在现在的互联网上&#xff0c;有人疯狂劝退新人进入嵌入式行业。他们认为嵌入式的工资低于计算机科学&#xff0c;学习难度较大&#xff0c;前景也不好。 首先是工资方面&#xff0c;你要知道&#xff0c;计算机科学专业也有月薪四五千的人&#xff0c;也有月薪六位数的人。相…

esp-idf的中断和异常管理——esp32 series的中断控制器

目录1 esp32 series的中断控制器2 基于riscv和xtensa的芯片中断模块的区别3 使用esp32 series的中断3.1 中断的配置3.2 中断的处理3.2 中断的清除1 esp32 series的中断控制器 和一些中断控制器固定了中断连线不同&#xff0c;esp32 series采用中断矩阵来连接中断源和中断输入引…

ESP32连接MQ Sensor实现气味反应

ESP32连接MQ Sensor实现气味反应 文章目录 ESP32连接MQ Sensor实现气味反应1️⃣ 设备介绍2️⃣ 代码介绍3️⃣ 运行效果&#x1f349;文末推荐 &#x1f468;‍&#x1f3eb; 1️⃣ 设备介绍 ESP32-WROOM-32 &#x1f449;ESP32 是一款由 Espressif Systems 开发的低功耗、高性…

ARM开发(cortex-A7核,UART总线实验)

目标&#xff1a;键盘输入一个字符a,串口工具显示b&#xff1b; 键盘输入一个字符串"nihao",串口工具显示"nihao"&#xff1b; ---.h头文件--- #ifndef __UART4_H__ #define __UART4_H__#include "stm32mp1xx_rcc.h" #include "stm32mp1x…

【STM32】串口通信乱码(认识系统时钟来源)

使用 stm32f407 与电脑主机进行串口通信时&#xff0c;串口助手打印乱码&#xff0c;主要从以下方面进行排查&#xff1a; 检查传输协议设置是否一致&#xff08;波特率、数据位、停止位、校验位&#xff09;检查MCU外部晶振频率是否和库函数设置的一致 最终发现是外部晶振频…

【正点原子STM32连载】第十九章 通用定时器输入捕获实验 摘自【正点原子】APM32F407最小系统板使用指南

1&#xff09;实验平台&#xff1a;正点原子stm32f103战舰开发板V4 2&#xff09;平台购买地址&#xff1a;https://detail.tmall.com/item.htm?id609294757420 3&#xff09;全套实验源码手册视频下载地址&#xff1a; http://www.openedv.com/thread-340252-1-1.html# 第十…

STM32中BOOT的作用 (芯片死锁解决方法)

BOOT stm32中具有BOOT1和BOOT0 作用 BOOT是stm32单片机的启动模式&#xff0c; 通过不同组合模式&#xff0c;共有三种启动方式。 一般来说就是指我们下好程序后&#xff0c;重启芯片时&#xff0c;SYSCLK的第4个上升沿&#xff0c;BOOT引脚的值将被锁存。用户可以通过设置B…

ARM开发(stm32 cortex-A7核IIC实验)

1.实验目标&#xff1a;采集温湿度传感器值&#xff1b; 2.分析框图&#xff08;模拟IIC控制器&#xff09;&#xff1b; 3.代码&#xff1b; ---iic.h封装时序协议头文件--- #ifndef __IIC_H__ #define __IIC_H__ #include "stm32mp1xx_gpio.h" #include "st…

STM32 进不了main 函数

1. 我用的是STM32L151C8T6 的芯片&#xff0c;在github 上找了个别人的例程&#xff0c;拿来当模板改&#xff0c;由于他用的是HSE 外部晶振&#xff0c;我用的是内部晶振HSI&#xff0c;所以需要改系统时钟&#xff0c;改完后debug&#xff0c; 一直进不了main 函数&#xff0…

【学习FreeRTOS】第15章——FreeRTOS队列集

1.队列集简介 一个队列只允许任务间传递的消息为同一种数据类型&#xff0c;如果需要在任务间传递不同数据类型的消息时&#xff0c;那么就可以使用队列集&#xff0c;作用&#xff1a;用于对多个队列或信号量进行“监听”&#xff0c;其中不管哪一个消息到来&#xff0c;都可…

stm32之4.时钟体系

3.时钟体系(给单片机提供一个非常稳定的频率信号) ①可以使用三种不同的时钟源来驱动系统时钟&#xff08;SYSCLK&#xff09;&#xff0c;CPU运行的频率为168MHZ&#xff1b; HSI(RC振荡器时钟&#xff0c;也就是高速内部时钟&#xff0c;一般来说很少用&#xff0c;因为精度…

stm32 之20.HC-06蓝牙模块

原理图显示使用usart3串口使用的是PB10和PB11引脚 直接配置usart3串口协议 void usart3_init(uint32_t baud) {GPIO_InitTypeDef GPIO_InitStructureure;USART_InitTypeDef USART_InitStructure;NVIC_InitTypeDef NVIC_InitStructure;//端口B硬件时钟打开RCC_AHB1PeriphClockC…

stm32duino 文件结构分析

GitHub - stm32duino/Arduino_Core_STM32: STM32 core support for Arduino 帮助 wiki system&#xff1a;stm32的启动文件 corel/arduino &#xff1a;包含与arduino相关的文件 library&#xff1a;示列&#xff0c;以及于ARDUINO相关的函数&#xff08;SRCWRAPPER文件&…

stm32 无刷电机 V/F控制(无刷电机变频控制)以及与foc(矢量控制)的区别

无刷电机有三种控制方式&#xff0c;方波控制&#xff0c;foc控制以及变频控制&#xff0c;前两章我们讲解了方波和foc的控制方法&#xff0c;今天我们一起来讲一讲什么是无刷电机的变频控制&#xff08;VF&#xff09;以及变频控制的优势是什么。 实验用的硬件还是KY_Motor的无…

正点原子lwIP学习笔记——NETCONN接口TCP实验

1. NETCONN接口TCPClient连接配置 NETCONN实现TCP的客户端连接需要以下步骤&#xff1a; 调用函数netconn_new创建TCP控制块&#xff1b;调用函数netconn_connect连接服务器&#xff1b;设置接收超时时间tcp_clientconn->recv_timeout&#xff1b;调用函数netconn_getaddr…

基于MDK-Keil环境如何把STM32程序直接下载到SRAM运行

1. 前言 对于 Cortex-M 内核的微控制器&#xff0c;它们都可以支持在 RAM 中执行程序&#xff0c;有些非 ARM 的微控制器是不支持的。 在内部 SRAM 执行程序&#xff0c;有基于以下几方面的原因&#xff1a; 1、所使用的设备可能具有OTP&#xff08;One-time Programmable&a…

解决stm32驱动LCD1602A时不显示第二行的问题

根据这个教程&#xff0c;用仿真测试可以成功&#xff0c;但实际上上真机只显示第一行。 考虑到可能是电压的问题&#xff0c;我外接的是和stm32一样的3.3v&#xff0c;但实际上lcd的额定电压是5v&#xff0c;于是换为5V&#xff0c;结果变这样了&#xff1a; 只能恢复电压3.…

正点原子lwIP学习笔记——NETCONN接口简介

1. NETCONN接口简介 NETCONN API 使用了操作系统的 IPC 机制&#xff0c; 对网络连接进行了抽象&#xff0c;使用同一的接口完成UDP和TCP连接。 NETCONN API接口是在RAW接口基础上延申出来的一套API接口 首先会调用netconn_new创建一个pcb控制块&#xff0c;其实际是一个宏定…

stm32学习笔记:EXIT中断

1、中断系统 中断系统是管理和执行中断的逻辑结构&#xff0c;外部中断是众多能产生中断的外设之一。 1.中断&#xff1a; 在主程序运行过程中&#xff0c;出现了特定的中断触发条件 (中断源&#xff0c;如对于外部中断来说可以是引脚发生了电平跳变&#xff0c;对于定时器来…

stm32之软件模拟IIC

在之前的文章中分析过在52上的IIC时序&#xff0c;也测试过stm32的自带IIC功能&#xff0c;这里大致写下如何模拟stm32上的IIC。实验硬件基于stm32f103c8t6 废话不多说&#xff0c;先直接上代码。 一、源码 头文件 #include "stdint.h" #include "gpio.h&quo…

基于STM32+OneNet设计的GPS定位器(ESP8266)

一、 设计说明 随着移动互联网和物联网技术的快速发展,越来越多的智能设备被广泛应用于各个领域。其中,GPS定位器是一种常见的智能设备,可以用于车辆、家庭、宠物等物品的实时定位。在实际使用中,GPS定位器需要具有实时上传位置数据、低功耗、精度高等特点。 当前提出了一…

关于NVIC 中断控制器的中断配置。

以下图片均来自NVIC控制器内容。 M3处理器仅实现了每个81个中断&#xff0c;每个中断的优先级由高4位控制。 这里的组优先级我认为是抢占式优先级。

2023版 STM32实战5 基本定时器中断

基本定时器简介与特性 -1-时钟可分频 -2-计数模式只可以选择累加 -3-只可以用来定时&#xff08;含中断&#xff09; 查看时钟源 如图定时器7的时钟最大为72MHZ 定时时间的计算 通用定时器的时间计算公式为 Tout &#xff08;&#xff08;arr1&#xff09;&#xff08;psc1&…

Arduino PLC IDE

Arduino PLC IDE MCU单片机进入全新的PLC领域概述需要的硬件和软件下一步操作1. Arduino PLC IDE Tool Setup2. Arduino PLC IDE Setup3. Project Setup4. Download the Runtime5. Connect to the Device6. License Activation with Product Key (Portenta Machine Control) 结…

基于STM32+华为云IOT设计的智能门禁系统

一、项目介绍 智能门禁系统是一种应用物联网技术的智能化安防系统&#xff0c;提供安全高效的门禁管理和远程监控功能。传统的门禁系统通常使用磁卡、密码或钥匙等方式进行开锁&#xff0c;但存在易丢失、易复制、操作繁琐等问题。为了解决这些问题&#xff0c;并提高门禁安全…

(三)正点原子STM32MP135移植——optee移植

一、概述 OP-TEE 是一个开源工程&#xff0c;完整的实现了一个可信执行环境。 主要包括 Secure world OS&#xff08;optee_os&#xff09;、normal world client&#xff08;optee_client&#xff09;、test suite&#xff08;optee_test/xtest&#xff09;以及 Linux 驱动部分…

STM32--基于STM32的智能家居设计与实现

本文详细介绍基于STM32F103C8T6的智能家居设计与实现&#xff0c;详细设计资料见文末链接 一、功能模块介绍 智能家居系统系统图如下所示&#xff0c;主要包括温湿度传感器、OLED液晶显示&#xff0c;WIFI物联网模块、人体红外预警模块、烟雾传感器模块、蜂鸣器模块 &#…

STM32CubeMX学习笔记-USART_DMA

STM32CubeMX学习笔记-USART_DMA 一、DMA的概念二、数据传输方式普通模式循环模式 三、以串口方式讲解串口DMA方式发送函数&#xff1a;HAL_UART_Transmit_DMA串口DMA方式接收函数&#xff1a;HAL_UART_Receive_DMA获取未传输数据个数函数&#xff1a;__HAL_DMA_GET_COUNTER关闭…

【嵌入式】使用MultiButton开源库驱动按键并控制多级界面切换

目录 一 背景说明 二 参考资料 三 MultiButton开源库移植 四 设计实现--驱动按键 五 设计实现--界面处理 一 背景说明 需要做一个通过不同按键控制多级界面切换以及界面动作的程序。 查阅相关资料&#xff0c;发现网上大多数的应用都比较繁琐&#xff0c;且对于多级界面的…

STM32--人体红外感应开关

本文主要介绍基于STM32F103C8T6和人体红外感应开关实现的控制算法 简介 人体红外模块选用HC-SR501人体红外传感器&#xff0c;人体红外感应的主要器件为人体热释电红外传感器。人体都有恒定的体温&#xff0c;一般在36~37度&#xff0c;所以会发出特定波长的红外线&#xff0…

Stm32_标准库_6_八种输入出模式

上拉输入与下拉输入 上拉输入&#xff1a;电平默认为高电平&#xff0c;只有当外部输入为低电平时&#xff0c;此IO口电平才会被拉低&#xff0c;经过触发器&#xff0c;再到寄存器&#xff0c;最后传入CPU GPIO_Mode_IPU&#xff1b;下拉输入&#xff1a;电平默认为低电平&am…

stm32_标准库_中断_按键点灯|蜂鸣器

配置流程 需要对AFIO、EXTI、NVIC、GPIOB进行配置形成通路将中断连接至CPU APB2总线连接的寄存器 LED灯代码 #include "stm32f10x.h" // Device header #include "Delay.h"GPIO_InitTypeDef GIPO_InitStruct;//结构体配置GPIO EXTI_InitTypeDef EXTI_…

STM32单片机入门学习(三)-流水灯

LED流水灯接线 如图&#xff1a; 5个 LED负极接A0 - A4 &#xff0c;低电平点亮&#xff0c;高电平灭 LED流水灯代码 #include "stm32f10x.h" #include "Delay.h" //delay函数所在头文件int main(void) {GPIO_InitTypeDef GPIOInitStruct;RCC_AP…

基于ENC28J60+uIP1.0+STM32的UDP Server实现,服务器主动发送数据的实现,几个关键的问题可算整明白了!

ENC28J60&#xff0c;是一款SPI接口的以太网PHYMAC芯片&#xff0c;实现以太网物理层和MAC层硬件通信。uIP是一个TCP/IP软件协议栈&#xff0c;实现TCP、UDP、ARP、ICMP等网络协议。STM32F103RCT6通过SPI接口与ENC28J60通讯&#xff0c;并移植uIP协议&#xff0c;实现一个小型的…

嵌入式学习笔记(36)什么是定时器

7.1.1定时器是SoC中常见外设 (1)定时器与计数器。计数器是用来计数的&#xff08;每隔一个固定时间会计一个数&#xff09;&#xff1b;因为计数器的计数时间周期是固定的&#xff0c;因此到了一定时间只要用计数值*技术实践周期&#xff0c;就能得到一个时间段&#xff0c;这…

stm32之1602+DHT11+继电器

描述&#xff1a; 1、DHT11监测温室度&#xff0c;并显示到1602液晶上 2、通过串口打印&#xff08;或通过蓝牙模块在手机上查看&#xff09; 3、当温度大于24度时&#xff0c;开启继电器。小于时关闭继电器&#xff08;继电器可连接风扇---假想O(∩_∩)O哈哈~&#xff09; 一、…

【STM32基础 CubeMX】外部中断

文章目录 前言一、中断是什么二、使用CubeMX配置你的第一个中断三、代码分析CubeMX四、中断函数按键中断点灯示例代码总结 前言 当涉及到STM32基础的外部中断时&#xff0c;我们进入了一个引人入胜的领域&#xff0c;它允许微控制器与外部世界进行互动并实时响应各种事件。外部…

【STM32CubeMX】低功耗模式

前言 本文讲解STM32F10X的低功耗模式&#xff0c;部分资料参考自STM32手册。STM32F10X提供了三种低功耗模式&#xff1a;睡眠模式&#xff08;Sleep mode&#xff09;、停机模式&#xff08;Stop mode&#xff09;和待机模式&#xff08;Standby mode&#xff09;。这些低功耗模…

驱动开发,使用数码管显示采集的温湿度的值(取整)

1.IIC驱动层次图&#xff08;同SPI&#xff09; 前提&#xff1a;将核心层和总线驱动层配置进内核&#xff1b; 先完成设备驱动和i2c总线驱动的绑定&#xff0c;让总线驱动管理到设备驱动&#xff0c;调用核心层API即可完成绑定&#xff0c;然后i2c总线驱动完成设备驱动和si700…

STM32 基础学习——GPIO位结构(江科大老师教程)

一、GPIO内部结构 1、GPIO外设名称是由GPIOA、GPIOB、GPIOC等命名&#xff0c;共有16个引脚 2、每个GPIO模块内&#xff0c;主要包含了寄存器和驱动器这些东西 3、寄存器写1&#xff0c;对应的端口就是高电平。写0&#xff0c;对应的端口就是低电平 4、寄存器只负责存储数…

13.TIM输出比较

目录 输出比较功能简介 PWM简介 输出比较通道&#xff08;通用定时器&#xff09; 输出模式控制器的执行逻辑&#xff08;工作流程&#xff09; 输出PWM波形及参数计算 输出比较通道&#xff08;高级定时器&#xff09; 舵机和直流电机 舵机 直流电机及驱动 参考手册 输…

STM32:GPIO模拟SPI驱动ADS8361

ADS8361是TI公司开发的一款模拟量输入芯片。ADS8361有四种工作模式&#xff0c;本文主要针对模式三进行通信驱动。官方方案使用两路SPI来通信&#xff0c;一路SPI Master&#xff0c;一路SPI Slave。我在使用STM32主控芯片的两路SPI进行通信的时候&#xff0c;发现只有SPI Mast…

18.示例程序(编码器接口测速)

STM32标准库开发-各章节笔记-查阅传送门_Archie_IT的博客-CSDN博客https://blog.csdn.net/m0_61712829/article/details/132434192?spm1001.2014.3001.5501 main.c #include "stm32f10x.h" // Device header #include "Delay.h" #incl…

正点原子嵌入式linux驱动开发——U-boot使用

在学会U-boot的移植以及其启动过程之前&#xff0c;先体验一下U-boot会更有助于学习的认知。STM32MP157开发板光盘资料里面已经提供了一个正点原子团队已经移植好的U-Boot&#xff0c;本章我们就直接编译这个移植好的U-Boot&#xff0c;然后烧写到EMMC里面启动&#xff0c;启动…

STM32Cubemx新建F429基础工程

配置STM32CubeMX 配置KEY 配置USART1 配置RCC Project Manager Toolchain 选择 MDK-ARM Code Generator 配置如下 GENERATE CODE 即可 配置Keil5 魔术棒配置 – Target – 勾选 Use MicroLIB – Debug – Flash Download – 勾选Reset and Run 基础代码 /* Private incl…

STM32复习笔记(四):看门狗

目录 &#xff08;一&#xff09;简介 &#xff08;二&#xff09;IWDG IWDG的CUBEMX工程配置 IWDG相关函数&#xff08;非常少&#xff0c;所以直接贴上来&#xff09;&#xff1a; &#xff08;三&#xff09;WWDG &#xff08;一&#xff09;简介 看门狗分为独立看门…

STM32复习笔记(五):FSMC连接外部SRAM

目录 Preface&#xff1a; &#xff08;一&#xff09;原理相关 &#xff08;二&#xff09;CUBEMX配置 &#xff08;三&#xff09;轮询方式读写 &#xff08;四&#xff09;DMA方式读写 Preface&#xff1a; STM32F4有一个FSMC&#xff08;Flexible Static Memory Contr…

STM32F4X I2C LM75

STM32F4X I2C LM75 I2C协议讲解I2C接线I2C协议波形I2C起始信号I2C停止信号I2C应答信号I2C寻址I2C地址格式 I2C数据传输 LM75ALM75A介绍LM75A引脚说明LM75A地址LM75A寄存器LM75A I2C协议写配置寄存器读配置寄存器写Tos和Thyst寄存器读Tos Thyst Temp寄存器LM75A温度计算 LM75A例…

通过modbus与串口屏通讯控制

串口屏作为modbus主机&#xff0c;下位机主板作为从机&#xff0c;在从机中建立一张数据表与串口屏作为数据交换缓冲&#xff0c;从机移植freemodbus协议栈&#xff0c;定时响应串口屏的轮询 如下&#xff0c;在一个项目中建立的数据表 //上报给屏的槽状态 typedef struct _d…

【FreeRTOS】【STM32】04.0 FreeRTOS的使用1(创建单任务—SRAM静态内存)

我打算学习FreeRTOS时先学习如何使用它&#xff0c;然后再逐步展开。 RTOS 系统的核心就是任务管理 初步上手 RTOS 系统首先必须掌握任务的创建、删除、挂起和恢复等操作 任务三要素 任务主体函数&#xff0c;任务栈&#xff0c;任务控制块是一个任务的三要素 定义任务函数…

32位 STM32 打印 uint64_t 类型

32位 STM32 打印 uint64_t 类型 32位 STM32 打印 uint64_t 类型 32位 STM32 打印 uint64_t 类型 测试代码&#xff0c;未整理&#xff0c;可参考&#xff01; uint64_t cnt 0x1122334455667788;uint32_t test1 (uint32_t)(cnt >> 32);uint64_t test2 (uint64_t)(cnt &…

stm32之19.温湿度模块(待补充)

dth11.c文件① #include "dht11.h" #include "delay.h"// 1、温湿度模块初始化(PG9) void Dht11_Init(void) {// 0、GPIO外设信息结构体GPIO_InitTypeDef GPIO_InitStruct;// 1、使能硬件时钟 RCC_AHB1PeriphClockCmd(RCC_AHB1Periph_GPIOG, ENABLE);//…

【速成】蓝桥杯嵌入式省一教程:(十一)UART串口通信

与I2C、SPI一样&#xff0c;UART是一种通信协议&#xff0c;设备之间依靠Rx(Receive)与Tx(Transmit)两条线进行数据传输。一个单片机通常内置有多个UART&#xff0c;而这些UART通常都与单片机上的USB接口连接在一起&#xff0c;因此只需要将单片机通过数据线与电脑相连&#xf…

stm32f103+CC2500PATR2.4SK

前言 记录一下自己最近在项目中用到并使用这个模块的使用过程。 模块介绍 模块特点 CC2500PATR2.4SK是集FSK/ASK/OOK/MSK.调制方式于一体的收发模块。它提供扩展硬件支持实现信息包处理、数据缓冲、群发射、空闲信道评估、链接 质量指示和无线电波唤醒&#xff0c;可以采用…

stm32之DS18B20

DS18B20与stm32之间也是通过单总线进行数据的传输的。单总线协议在DHT11中已经介绍过。虽说这两者外设都是单总线&#xff0c;但时序电路却很不一样&#xff0c;DS18B20是更为麻烦一点的。 DS18B20 举例&#xff08;原码补码反码转换_原码反码补码转换_王小小鸭的博客-CSDN博客…

【正点原子STM32连载】第二十一章 高级定时器输出指定个数PWM实验 摘自【正点原子】APM32F407最小系统板使用指南

1&#xff09;实验平台&#xff1a;正点原子stm32f103战舰开发板V4 2&#xff09;平台购买地址&#xff1a;https://detail.tmall.com/item.htm?id609294757420 3&#xff09;全套实验源码手册视频下载地址&#xff1a; http://www.openedv.com/thread-340252-1-1.html# 第二…

ARM驱动开发

驱动 以来内核编译&#xff0c;依赖内核执行 驱动可以同时执行多份代码 没main 驱动是依赖内核的框架和操作硬件的过程 一&#xff0c;Linux系统组成 app: [0-3G] ---------------------------------系统调用&#xff08;软中断…

项目(智慧教室)第二部分,人机交互页面实现,

使用软件&#xff1a; 1.BmCvtST.exe 这是stm32Cubemx工程下的带三方软件。存在STemWin中。 作用&#xff1a; 图片变成.c文件格式。 2.CodeBlock 3.模拟器工程&#xff08;具体请看上一节&#xff09; 一。emWin环境的搭建 1.codeBlock下载 开源免费。 2.使用stm的C…

设计实现QSPI Flash的下载算法

mm32-2nd-bootloader技术白皮书&#xff08;3&#xff09;——设计实现QSPI Flash的下载算法 mm32-2nd-bootloader技术白皮书&#xff08;3&#xff09;——设计实现QSPI Flash的下载算法 | MCU加油站 cathy 发布于&#xff1a;周一, 03/20/2023 - 15:29 &#xff0c;关键词&a…

Keil Flash的下载算法

更进一步的了解Keil Flash的下载算法 前面提到了通用算法的选择&#xff0c;那么问题来了&#xff0c;这个算法文件如何来的呢&#xff1f;如果你所用的MCU不是默认支持的品牌&#xff0c;如何编写属于自己的算法呢&#xff1f; 工具/原料 Keil uVision ULINK2仿真器 方法/…

STM32纯中断方式发送接收数据(串行通信;keil arm5;)

除了main文件其他文件均无修改&#xff0c;正常运行--在keil arm5内

使用编译器V6的新建工程_库版本

新建工程与其他一般一样 环境MDK5.38 &#xff0c;编译器6.19&#xff1b;pack包2.17版本&#xff0c;库是1.9版本 关键点 步骤1、 文件目录1.9库的内核头文件目录 STM32F4xx_DSP_StdPeriph_Lib_V1.9.0\Libraries\CMSIS\Include 复制到CORE 步骤2、 在安装的pack包目录…

yocto stm32mp1集成ros

yocto stm32mp1集成ros yocto集成ros下载meta-rosyocto集成rosrootfs验证 yocto集成ros 本章节介绍yocto如何集成ros系统用来作机器人开发。 下载meta-ros 第一步首先需要下载meta-ros layer&#xff0c;meta-ros的链接如下&#xff1a;https://github.com/ros/meta-ros/tre…

语言芯片WTN6的驱动

前言 &#xff08;1&#xff09;本系列是基于STM32的项目笔记&#xff0c;内容涵盖了STM32各种外设的使用&#xff0c;由浅入深。 &#xff08;2&#xff09;小编使用的单片机是STM32F105RCT6&#xff0c;项目笔记基于小编的实际项目&#xff0c;但是博客中的内容适用于各种单片…

EasyFalsh移植使用方法

参考&#xff1a;https://blog.csdn.net/Mculover666/article/details/105510837 注意&#xff1a; 这里说的修改默认环境变量后修改环境变量版本号就自动重新写入到flash这句话是有问题的&#xff0c;要开启上面【#define EF_ENV_AUTO_UODATE】宏定义后才会实现该功能&#…

STM32 软件IIC 控制OLED 显示屏

1. 硬件IIC 实在是太难用了&#xff0c;各种卡死&#xff0c;各种发不出来数据&#xff0c;没那么多时间折腾了&#xff0c;还是用软件IIC 先吧&#xff0c;初始化 void OLED_Software_IIC_Init(void) {GPIO_InitTypeDef GPIO_InitStruct;RCC_AHBPeriphClockCmd(OLED_SOFTWARE…

stm32 学习笔记:GPIO输出

一、GPIO简介 引脚电平 0-3.3V,部分可容忍5V&#xff0c;对输出而言最大只能输出3.3V, 只要可以用高低电平来控制的地方&#xff0c;都可以用GPIO来完成&#xff0c;如果控制的功率比较大的设备&#xff0c;只需加入驱动电路即可 GPIO 通用输入输出口&#xff0c;可配置为 8种 …

STM32移植FAT文件系统

所谓“移植”&#xff0c;就是打通FAT源码和物理设备之间的软件接口。 FAT源码早就被公益组织给写好了&#xff0c;直接下载源码。但是FAT作为顶层应用程序&#xff0c;它需要面对的底层物理设备是不确定的&#xff0c;那么底层的物理设备驱动程序就需要程序员来自己写。物理设…

【STM32学习】——SPI通信协议SPI时序W25Q64存储芯片软件SPI读写

目录 前言 一、SPI通信协议 1.概述​ 2.硬件电路 3.移位示意图 二、SPI时序 1.时序基本单元 2.完整时序波形 三、W25Q64存储芯片 1.芯片简介 2.硬件电路&引脚定义 3.芯片框图 4.Flash操作注意事项 四、软件SPI读写W25Q64 五、SPI通信外设 总结 前言 声明&…

STM32单片机OLED贪吃蛇游戏记分计时

实践制作DIY- GC00165---OLED贪吃蛇游戏 一、功能说明&#xff1a; 基于STM32单片机设计---OLED贪吃蛇游戏 二、功能说明&#xff1a; STM32F103C系列最小系统板0.96寸OLED显示器上、下、左、右4个按键 1.通过OLED配合按键实现贪吃蛇游戏 2.可以上下左右移动。 3.可以统计显…

STM32-DMA

1 DMA简介 DMA&#xff08;Direct Memory Access&#xff09;,中文名为直接内存访问&#xff0c;它是一些计算机总线架构提供的功能&#xff0c;能使数据从附加设备&#xff08;如磁盘驱动器&#xff09;直接发送到计算机主板的内存上。对应嵌入式处理器来说&#xff0c;DMA可…

【学习FreeRTOS】第19章——FreeRTOS低功耗模式Tickless

1.低功耗模式简介 很多应用场合对于功耗的要求很严格&#xff0c;比如可穿戴低功耗产品、物联网低功耗产品等一般MCU都有相应的低功耗模式&#xff0c;裸机开发时可以使用MCU的低功耗模式。FreeRTOS也提供了一个叫Tickless的低功耗模式&#xff0c;方便带FreeRTOS操作系统的应…

STM32f103入门(1) 配置点亮Led灯

1 安装keil5 MDK 双击 MDK524a.EXE安装成功后管理员模式打开CID复制到破解软件 选择ARM生成代码复制到New License ID CodeAdd LIC破解完毕 2安装stm32芯片 可找资料自行安装 如下 3 创建工程 Project->new project 本篇芯片为stm32f103保存到自定义文件夹下在根目录下…

读取SD卡图片bin文件显示LCD上

读取SD卡bin文件显示图片 Coding 环境搭建&#xff1a; 硬件平台&#xff1a;STM32H750XBH6开发环境&#xff1a;STM32CubeMX V6.8.1KEIL V5.28.0.0STM32H750固件版本&#xff1a;package V1.11.0仿真下载驱动&#xff1a;ST-Link 前言&#xff1a;STM32H750XBH6 的flash只…

STM32f103入门(2)流水灯蜂鸣器

流水灯 /* #define GPIO_Pin_0 ((uint16_t)0x0001) /*!< Pin 0 selected */ #define GPIO_Pin_1 ((uint16_t)0x0002) /*!< Pin 1 selected */ #define GPIO_Pin_2 ((uint16_t)0x0004) /*!< Pin 2 selected */ #de…

STM32 Cubemx配置串口收发

文章目录 前言注意事项Cubemx配置printf重定向修改工程属性修改源码 测试函数 前言 最近学到了串口收发&#xff0c;简单记录一下注意事项。 注意事项 Cubemx配置 以使用USART1为例。 USART1需配置成异步工作模式Asynchronous。 并且需要使能NVIC。 printf重定向 我偏向…

ARM开发,stm32mp157a-A7核中断实验(实现按键中断功能)

1.实验目的&#xff1a;实现KEY1/LEY2/KE3三个按键&#xff0c;中断触发打印一句话&#xff0c;并且灯的状态取反&#xff1b; key1 ----> LED3灯状态取反&#xff1b; key2 ----> LED2灯状态取反&#xff1b; key3 ----> LED1灯状态取反&#xff1b; 2.分析框图: …

STM32移植ST77891.69寸屏幕并移植lvgl8.0.2(按键输入设备)一些心得

学习目标: 将ST7789(1.69寸圆角屏SPI)驱动移植+lvgl移植+按键当作输入设备 学习内容: 驱动移植lvgl移植按键移植软件使用正片开始: 先说说这块屏幕的介绍呗 ST7789屏幕是一种高性能的液晶显示屏,它具有高清晰度、高亮度、低功耗等优点。它采用了SPI接口通信,可以实现快速…

STM32开发 | 移远4G-Cat.1模组EC200N-CN开发

一、硬件说明 1、引脚分配图 文章来源地址https://www.yii666.com/blog/326636.html文章来源地址:https://www.yii666.com/blog/326636.html 2、常用引脚说明 模块输入电源 引脚名描述VBAT_BB模块基带电源&#xff08;Vnom 3.8 V&#xff09;VBAT_RF模块射频电源&#xff0…

5 STM32标准库函数 之 外部中断/事件控制器(EXTI)所有函数的介绍及使用

5 STM32标准库函数 之 外部中断/事件控制器&#xff08;EXTI&#xff09;所有函数的介绍及使用 1. 图片有格式2 文字无格式五 库函数之外部中断/事件控制器&#xff08;EXTI&#xff09;所有函数的介绍及使用前言一、图片预览&#xff0c;无格式&#xff08;CSDN&#xff09;二…

嵌入式软件设计

欢迎关注博主 Mindtechnist 或加入【Linux C/C/Python社区】一起学习和分享Linux、C、C、Python、Matlab&#xff0c;机器人运动控制、多机器人协作&#xff0c;智能优化算法&#xff0c;滤波估计、多传感器信息融合&#xff0c;机器学习&#xff0c;人工智能等相关领域的知识和…

stm32之25.FLASH闪存

打开标准库 源码--- int main(void) {uint32_t d;Led_init();key_init();/* 初始化串口1波特率为115200bps&#xff0c;若发送/接收数据有乱码&#xff0c;请检查PLL */usart1_init(115200);printf("this is flash test\r\n");/* 解锁FLASH&#xff08;闪存&#xf…

stm32之IIC协议

主要通过两个层面来讲&#xff1a;物理层、协议层。 IIC是一个同步半双工串行总线协议。 一、物理层&#xff08;通信模型&#xff09; 1、最早是飞利浦公司开发的这个协议&#xff0c;最早应用到其产品上去。 2、两线制&#xff08;两根信号线&#xff09; 其中SCL为时钟…

stm32+FreeRTOS遇到的坑

问题 最近把项目移植进FreeRTOS时&#xff0c;发现开始任务都不能启动&#xff1b; 机器是stm32f407&#xff0c;使用的arm固件和FreeRTOS都是最新版本&#xff1b; 裸机运行没有问题&#xff0c;但是使用任务运行就运行不了&#xff1b; 排查 首先尝试了教程用的点灯代码…

操作系统_文件管理(三)

目录 3. 文件系统 3.1 文件系统结构 3.2 文件系统布局 3.2.1 文件系统在磁盘中的结构 3.2.2 文件系统在内存中的结构 3.3 外存空闲空间管理 3.3.1 空闲表法 3.3.2 空闲链表法 3.3.3 位示图法 3.3.4 成组链接法 3.4 虚拟文件系统 3.5 分区和安装 3.6 小结 3. 文件系…

【【萌新的STM32学习-19-蜂鸣器实验】】

萌新的STM32学习-19-蜂鸣器实验 STM32在正点原子的视频中并未讲述关于蜂鸣器的实验&#xff0c;我们自己探究一下作为简单的HAL库入门 蜂鸣器每隔 300ms 响或者停一次。LED0 每隔 300ms 亮或者灭一次。LED0 亮的时候蜂鸣器不叫&#xff0c;而 LED0 熄灭的时候&#xff0c;蜂鸣…

【STM32】学习笔记-江科大

【STM32】学习笔记-江科大 1、STM32F103C8T6的GPIO口输出 2、GPIO口输出 GPIO&#xff08;General Purpose Input Output&#xff09;通用输入输出口可配置为8种输入输出模式引脚电平&#xff1a;0V~3.3V&#xff0c;部分引脚可容忍5V输出模式下可控制端口输出高低电平&#…

BetaFlight飞控启动运行过程简介疑问跟踪

BetaFlight飞控启动&运行过程简介疑问跟踪 1. 源由2. 【已解存疑】问题一&#xff1a;6.1 Why desiredPeriodCycles is so important to Betaflight task?3. 【已解】问题二&#xff1a;6.2 What root cause has made gyro task to been overrun, so scheduler has to ski…

07:STM32----ADC模数转化器

目录 1:简历 2:逐次逼近型ADC 3:ADC基本结构 4:输入通道 5:规则组的4种转换模式 1:单次转化,非扫描模式 2:连续转化,非扫描模式 3:单次转化,扫描模式 4:单次转化,扫描模式 6:触发控制 7:数据对齐 8:转化时间 9:校准 10:ADC的硬件电路 A: AD单通道 1:连接图 2:函…

stm32----SPI协议

一、概述 SPI&#xff08;Serial Peripheral Interface&#xff0c;串行外围设备接口&#xff09;&#xff0c;是Motorola公司提出的一种同步串行接口技术&#xff0c;是一种高速、全双工、同步通信总线&#xff0c;在芯片中只占用四根管脚用来控制及数据传输&#xff0c;节约…

嵌入式系统基础 单片机MCU 树莓派 飞控 cpu

嵌入式系统基础 单片机MCU 树莓派 飞控 cpu stm32 TI arduino 一些有趣的软硬件设计 嵌入式与linux shell 单片机 电机控制 基于树莓派和Arduino制作的多种移动机器人 电路设计 Altium Designer 导出pcb制作文件 ARM cpu 浮点峰值 先来谈一下ARM的发展史&#xff1a…

AP5192 DC-DC降压恒流LED汽车头灯摩托车电动车大灯电源驱动

AP5192是一款PWM工作模式,高效率、外围简单、 内置功率MOS管&#xff0c;适用于4.5-100V输入的高精度 降压LED恒流驱动芯片。最大电流1.5A。 AP5192可实现线性调光和PWM调光&#xff0c;线性调光 脚有效电压范围0.55-2.6V. AP5192 工作频率可以通过RT 外部电阻编程 来设定&…

stm32----用状态机判断单双击

一、什么是状态机 状态机&#xff08;State Machine&#xff09;是一种用于描述系统状态和状态之间转换关系的数学模型&#xff0c;说白了就是做出某个动作之后变成什么样的状态&#xff0c;比如stm32中的按键控制开关灯&#xff0c;假设灯一开始是关的&#xff0c;当我们按下…

STM32G0 定时器PWM DMA输出驱动WS2812配置 LL库

通过DMA方式输出PWM模拟LED数据信号 优点&#xff1a;不消耗CPU资源 缺点&#xff1a;占用内存较大 STM32CUBEMX配置 定时器配置 定时器通道&#xff1a;TIM3 CH2 分频&#xff1a;0 重装值&#xff1a;79&#xff0c;芯片主频64Mhz&#xff0c;因此PWM输出频率&#xff1a…

深入浅出玩转FPGA——笔记8 漫谈状态机设计

1 状态机的基本概念 硬件的并行性决定了用不同verilog描述的硬件实现&#xff08;比如不同的always语句&#xff09;都是并行执行的&#xff0c;那么如果希望分多个时间完成一个任务&#xff0c;怎么办&#xff1f; 方法1 可以用多个使能信号赖衔接多个不同的模块&#xff0c;…

物联网闸道器开发整合嵌入式套件加速物联网创新

物联网闸道器开发整合套件&#xff0c;以可靠的物联网软体平台与开放式闸道器整合技术&#xff0c;协助加速物联网创新。整组套件包括软硬件整合的系统(Intel Celeron J1900平台与Windows 7 Embedded)、物联网软体平台服务(WISE-PaaS)、软体开发套件和技术支援服务以及Microsof…

【STM32】IIC的初步使用

IIC简介 物理层 连接多个devices 它是一个支持设备的总线。“总线”指多个设备共用的信号线。在一个 I2C 通讯总线中&#xff0c;可连接多个 I2C 通讯设备&#xff0c;支持多个通讯主机及多个通讯从机。 两根线 一个 I2C 总线只使用两条总线线路&#xff0c;一条双向串行数…

STM32的HAL库的定时器使用

用HAL库老是忘记了定时器中断怎么配置&#xff0c;该调用哪个回调函数。今天记录一下&#xff0c;下次再忘了就来翻一下。 系统的时钟配置&#xff0c;定时器的时钟是84MHz 这里定时器时钟是84M&#xff0c;分频是8400后&#xff0c;时基就是1/10000s&#xff0c;即0.1ms。Per…

stm32 iap sd卡升级

参考&#xff1a;STM32F4 IAP 跳转 APP问题_stm32程序跳转_古城码农的博客-CSDN博客 app程序改两个位置 1.程序首地址&#xff1a; 2.改中断向量表位移&#xff0c;偏移量和上面一样就可以 然后编译成bin文件就可以了

STM32f103入门(9)编码器接口测速

TIM3 PA6 PA7 上拉输入 原理上也是PWM捕获输入 捕获两个输入 我们用中断处理读取CNT的值 读取完将CNT置0 这样我们就得到了旋转编码器的速度/s 中断配置代码 #include "stm32f10x.h" // Device headervoid Timer_Init(void) {RCC_APB1PeriphClockC…

使用MDK5的一些偏僻使用方法和谋个功能的作用

程序下载后无法运行 需要勾选如下库&#xff0c;是优化后的库&#xff1b; MicroLib和标准C库之间的主要区别是: 1、MicroLib是专为深度嵌入式应用程序而设计的。 2、MicroLib经过优化&#xff0c;比使用ARM标准库使用更少的代码和数据内存。 3、MicroLib被设计成在没有操作…

STM32F4X RTC

STM32F4X RTC 什么是RTCSTM32F4X RTCSTM32F4X RTC框图STM32F4X RTC计数频率STM32F4X RTC日历STM32F4X RTC闹钟 STM32F4X RTC例程 什么是RTC RTC全程叫Real-Time Clock实时时钟&#xff0c;是MCU中一个用来计时的模块。RTC的一个主要作用是用来显示实时时间&#xff0c;就像日常…

STM32 Nucleo-144开发板开箱bring-up

文章目录 1. 开篇2. 开发环境搭建2.1 下载官方例程2.2 ST-Link安装 3. STM32F446ZE demo工程3.1 STM32F446ZE简介3.2 跑个demo试一试 1. 开篇 最近做项目&#xff0c;用到STM32F446ZET6这款MCU&#xff0c;为了赶进度&#xff0c;前期软件需要提前开发&#xff0c;于是在某宝买…

【STM32】FSMC—扩展外部 SRAM 初步使用 1

基于野火指南者《零死角玩转 STM32F103—指南者》的学习 STM32F103系列 FSMC Flexible Static Memory Controller简介 1.详细功能参看《STM32F10x参考手册》&#xff0c;这边是概述 是一个外设&#xff0c;挂载在AHB总线下。 可以用于驱动包括 SRAM、NOR FLASH 以及 NAND FL…

stm32的CAN配置-库函数基本配置、(标准帧、扩展帧、远程帧等类型)中断发送、中断接收、多帧发送等

#include "config.h" #include "CAN.h" #include "CAN_Hardware.h" #if CANHDEN>0 #define LocalID (uint32_t)0x00000000 //定义本机的ID 0x0012FFFF #define IDMaskBits (uint32_t)0x00000000 //过滤器ID屏蔽位0xFFFFFFFF#if CAN1_TX_I…

强大的JTAG边界扫描(2):BSDL文件介绍

文章目录 1. 什么是BSDL文件&#xff1f;2. BSDL文件的获取方式1&#xff1a;BSDL Library方式2&#xff1a;各芯片的官方网站Xilinx BSDL文件获取Altera BSDL文件获取Microsemi FPGA BSDL文件获取ST BSDL文件获取 3. BSDL文件示例4. BSDL文件的应用 1. 什么是BSDL文件&#xf…

初出茅庐的小李博客之数制与编码知识

模拟量与数字量&#xff1a; 数字量和模拟量是两种用于表示和处理不同类型数据的概念&#xff0c;常见于电子和计算机系统中。它们在信号处理、传感器技术、通信和控制系统中有不同的应用。 1. 数字量&#xff08;Digital&#xff09;&#xff1a; 数字量是离散的&#xff0…

项目(智慧教室)第四部分,页面交互功能,WebServer建立与使用,

一。页面构思 1.标题栏 大标题&#xff1a;智慧教室管理系统 小标题&#xff1a;灯光&#xff0c;报警&#xff0c;风扇&#xff0c;温度&#xff0c;湿度&#xff0c;光照 2.样式设计 背景设置。字体设置&#xff08;字体大小&#xff0c;格式&#xff0c;颜色&#xff09; 3.…

CRC原理介绍及STM32 CRC外设的使用

1. CRC简介 循环冗余校验&#xff08;英语&#xff1a;Cyclic redundancy check&#xff0c;简称CRC&#xff09;&#xff0c;由 W. Wesley Peterson 于 1961 年首次提出的一种纠错码理论。 CRC是一种数据纠错方法&#xff0c;主要应用于数据通信或者数据存储的场合&#xff…

stm32单片机测量外部脉冲宽度

stm32单片机测量外部脉冲宽度 在STM32上测量外部脉冲的宽度&#xff0c;我们可以使用定时器&#xff08;TIM&#xff09;的输入捕获模式。以下是一个示例&#xff0c;它使用STM32的HAL库和TIM2来测量脉冲宽度。 1)首先&#xff0c;确保在stm32f4xx_hal_conf.h或相应的HAL配置文…

基于STM32的简化版智能手表

一、前言 本文的OLED多级菜单UI为一个综合性的STM32小项目&#xff0c;使用多传感器与OLED显示屏实现智能终端的效果。项目中的多级菜单UI使用了较为常见的结构体索引法去实现功能与功能之间的来回切换&#xff0c;搭配DHT11&#xff0c;RTC&#xff0c;LED&#xff0c;KEY等器…

STM32独立看门狗 IWDG

目录 1.独立看门狗介绍 2.独立看门狗本质 3.独立看门狗框图​编辑 4.独立看门狗时钟 5.预分频寄存器&#xff08;IWDG_PR)​编辑 6.重装载寄存器&#xff08;IWDG_RLR) 7.键寄存器&#xff08;IWDG_KR) 8.独立看门狗实验和代码示例 9.独立看门狗和窗口看门狗的异同点 …

【SPI读取外部Flash】使用逻辑分析仪来读取FLASH Device ID

实验设备&#xff1a;25块钱的 逻辑分析仪 和 野火F429开发板 注意点&#xff0c;这个逻辑分析仪最大只能检测24M的波形&#xff0c;而SPI是在外部通道2&#xff0c;所以我们对系统时钟的分频&#xff0c;也就是给到通道2的时钟速度要在24M内&#xff0c;不然检测到的数据是有…

这个锂电池保护方案来自TIDA-010030

本篇博客只是作为个人记录&#xff0c;拆锂电池有危险&#xff0c;撬棒刺穿外壳可能爆炸&#xff0c;请勿模仿&#xff0c;误操作电池数据可能失效&#xff0c;请勿模仿。 1、简介 1.1、目的 得到该电池的电量计芯片型号、IIC从机地址、通信的实际波形&#xff1b; 1.2、步…

STM32 FreeRTOS 内存问题

1. STM32L151C8T6 内存&#xff0c;64Kb 的Flash&#xff08;代码就是烧录在这里面的&#xff09;&#xff0c;16Kb 的RAM&#xff0c;程序跑起来之后的内存&#xff0c;相当于我们高考时发的草稿纸&#xff0c;直接影响程序的运行速度&#xff0c;可以用STM32 CubeMx 软件直接…

stm32----ADC模数转换

一、ADC介绍 ADC&#xff0c;即模数转换器&#xff0c;它可以将模拟信号转化为数字信号。在stm32种一般有3个ADC&#xff0c;每个ADC有18个通道。 12位ADC是一种逐次逼近型模拟数字转换器&#xff0c;它有多达18个通道&#xff0c;可测量16个外部和两个内部信号源。各个通道的A…

STM32两种输入两种输出仿真设计合集

嵌入式系统应用训练成果形式要求 1、成果验收 每组最多2名同学&#xff08;班级人数为单数的可允许唯一1组人数为3人)&#xff0c;要求在规定的时间内完成一个嵌入式系统的设计和实现&#xff0c;要求有输入、输出&#xff08;输入和输出形式各自至少不得少于两种&#xff09;&…

STM32F4X SPI W25Q128

STM32F4X SPI W25Q128 什么是SPISPI的特点SPI通信引脚SPI接线方式SPI速率SPI通信方式SPI时钟相位和时钟极性 STM32F4X SPISTM32F4X SPI配置STM32F4X SPI频率 W25Q128W25Q128存储结构W25Q128读写操作W25Q128常用指令读取ID命令(0x90)写使能命令(0x06)禁止写使能命令(0x04)读取W2…

STM32——一文完全读懂IIC通信

文章目录 I2C基本概念硬件线路通信结构&#xff1a;I2C设备地址&#xff1a;I2C数据传输&#xff1a;I2C时钟速度和通信速度&#xff1a;I2C应答机制&#xff1a;I2C设备之间的冲突检测&#xff1a;I2C设备规格&#xff1a;主机读取数据IIC通信过程实际编程和应用&#xff1a;I…

STM32 驱动

led 驱动 led头文件 #ifndef _LED_H #define _LED_Hvoid LED_Init(void); void LED_ON(void); void LED_OFF(void); void LED_Turn(void);#endifled代码 #include "stm32f10x.h" void LED_Init(void) {//START CLOCKRCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIO…

基于STM32设计的校园一卡通(设计配套的手机APP)

一、功能介绍 【1】项目介绍 随着信息技术的不断发展,校园一卡通作为一种高效便捷的管理方式,已经得到了广泛的应用。而其核心部件——智能卡也被越来越多的使用者所熟知。 本文介绍的项目是基于STM32设计的校园一卡通消费系统,通过RC522模块实现对IC卡的读写操作,利用2…

STM32F407 串口使用DMA方式通信

DMA的原理&#xff0c;就是利用寄存器方式进行读写&#xff0c;这样的好处就是相对于中断触发&#xff08;往往一个字节字节的就中断一次&#xff09;&#xff0c;CPU中断次数大大降少&#xff0c;提高了效率&#xff0c;但也影响了实时性。总体来说&#xff0c;对于一般的应用…

毕业设计|基于stm32单片机的app视频遥控抽水灭火小车设计

基于stm32单片机的app视频遥控抽水灭火水泵小车设计 1、项目简介1.1 系统构成1.2 系统功能 2、部分电路设计2.1 L298N电机驱动电路设计2.2 继电器控制电路设计 3、部分代码展示3.1 小车控制代码3.1 水泵控制代码 4 演示视频及代码资料获取 1、项目简介 视频简介中包含资料http…

记:lora及lorawan的一些概念话

目录 lora常用概念缩写 扩频因子&#xff08;SF&#xff09; 编码率&#xff08;CR&#xff09; 信号带宽&#xff08;BW&#xff09; lora发送参数关系 lora数据包结构 前导码 报头 低数据速率优化 如何简单直白的去理解lorawan协议 水表 电表 Rx1 和 Rx2 ABP 和…

STM32单片机入门学习(一)

一、购入硬件装备 心血来潮&#xff0c;想学STM32&#xff0c;话不多说&#xff0c;先把东西买了STM32F103C8T6开发板ST-LINK下载器&#xff0c;小元器件自备。 二、安装软件装备 1.Keil uVision5安装 其他都是下一步。 2.用注册机给 Keil 5 注册 打开keil 5&#xff0c;打…

STM32 定时器介绍--基本定时器

目录 基本定时器 1.功能框图 1-时钟源 2-控制器 3-时基单元 4-影子寄存器 2.定时时间的计算 3.时基初始化结构体 4.实验设计 1-配置时基初始化结构体 2-开启定时器更新中断&#xff08;即定时时间到了&#xff09; 3-编写main函数 在我之前文章中说过一个系统定时器…

IIC协议详解

目录 1.IIC协议概述 2.IIC总线传输 3.IIC-51单片机应用 1.起始信号 2.终止信号 3.应答信号 4.数据发送 4.IIC-32单片机应用 用到的库函数&#xff1a; 1.IIC协议概述 IIC全称Inter-Integrated Circuit (集成电路总线)是由PHILIPS公司在80年代开发的两线式串行总线&…

调试笔记--STM32连不上仿真器

前段时间买了一个STM32F407的开发板&#xff0c;打算做一点小实验&#xff0c;因为之前就用过STM32系列&#xff0c;算是比较熟悉&#xff0c;也没有太在意&#xff0c;安装完Keil后直接开始下载代码试试&#xff0c;问题出现了。 问题现象&#xff1a; Keil下代码编译通过&am…

解决Keil5下载没有对应芯片Flash的问题

问题描述 例如芯片是STM32F103ZET6&#xff0c;但是选项中并没有对应型号的芯片导致下载失败。 解决方法 1、寻找芯片安装包的具体位置&#xff0c;芯片安装包路径在软件安装过程中会有&#xff08;如图1所示&#xff09;。如果没有记录可以双击一下芯片安装包会直接提示。…

2023版 STM32实战1 LED灯驱动(电路与代码都讲解)

电路图 常规画法&#xff08;带限流电阻计算公式&#xff09; 设LED 电流为20mA(统一单位为 0.02A) 电压为3.3V 限流电阻(电源电压-负载正向工作电压)/工作电流 限流电阻(5V-3.3V)/0.02mA1.7/V0.02A85R 省事画法&#xff08;直接用IO输出&#xff09; 代码&#xff08;直…

基于STM32和LORA组网的养老院智能控制系统设计(第十八届研电赛)

一、整体功能 数据采集从机1采集烟雾浓度&#xff0c;PM2.5浓度&#xff0c;甲醛浓度&#xff1b;从机2采集温湿度&#xff0c;光照强度&#xff0c;噪声强度&#xff0c;老人体感温度&#xff1b;从机3收集厨房饮用水的TDS值。3个数据采集从机将采集到的数据显示在本地OLED屏…

基于STM32设计的生理学信号采集系统

一、设计简述 基于STM32设计的生理学信号采集系统 1.1 项目背景 随着人们对健康意识的提高,越来越多的人开始关注自己的身体指标,如血氧、心率等。同时,随着电子技术的发展,单片机已经广泛应用于各个领域之中。因此,基于单片机的生理学信号采集系统应运而生。当前利用STM…

CS SD NAND在STM32精英V2开发板的测试-是时候将TF卡换为SD NAND了

前言 嵌入式项目中,比较常见的存储扩展方案是使用TF/SD卡或者EMMC或者RAW NAND,各种方案都有其优缺点,而SD NAND相对于上述方案具备很多优势,是目前嵌入式项目中存储扩展方案的一个非常不错的选择,正好一个项目在选择NAND存储方案, 恰巧论坛中联系到雷龙公司申请到了两片SD NAN…

14.TIM输出比较示例程序(PWM驱动LED呼吸灯PWM驱动舵机PWM驱动直流电机)

目录 输出比较相关库函数 PWM驱动LED呼吸灯 PWM驱动舵机 PWM驱动直流电机 输出比较相关库函数 1.OC初始化&#xff08;掌握&#xff09; // 配置输出比较模块&#xff0c;输出比较单元有四个&#xff0c;对应也有四个函数 // 第二个参数是结构体&#xff0c;就是输出比较…

STM32物联网基于ZigBee智能家居控制系统

实践制作DIY- GC0169-ZigBee智能家居 一、功能说明&#xff1a; 基于STM32单片机设计-ZigBee智能家居 二、功能介绍&#xff1a; 1个主机显示板&#xff1a;STM32F103C最小系统ZigBee无线模块OLED显示器 语音识别模块多个按键ESP8266-WIFI模块&#xff08;仅WIFI版本有&…

STM32 10个工程篇:1.IAP远程升级(六)

在IAP远程升级的最后一篇博客里&#xff0c;笔者想概括性地梳理总结IAP程序设计中值得注意的问题&#xff0c;诚然市面上或者工作后存在不同版本的IAP下位机和上位机软件&#xff0c;也存在不同定义的报文格式&#xff0c;甚至对于相似的知识点不同教程又有着完全不同的解读&am…

(六)正点原子STM32MP135移植——内核移植

目录 一、概述 二、编译官方代码 三、移植 四、编译 一、概述 前面已经移植好了TF-A、optee、u-boot&#xff0c;在u-boot能正常跑起来的情况下&#xff0c;现在来移植内核。 二、编译官方代码 进入kernel目录 2.1 解压源码、打补丁 /* 解压源码 */ tar xf linux-6.1.28.…

ESP-01S连接OneNET上报温湿度信息

&#x1f380; 文章作者&#xff1a;二土电子 &#x1f338; 关注文末公众号获取其他资料和工程文件&#xff01; &#x1f438; 期待大家一起学习交流&#xff01; 文章目录 一、功能简介二、OneNET固件烧写三、配置OneNET平台四、连接OneNET测试五、程序设计5.1 DHT11获取…

STM32实战项目——WIFI远程开关灯

前言 其实WIFI开关灯在几个月前就想做了&#xff0c;但是对于没有云平台调试经验的我&#xff0c;一开始有些摸不着头脑&#xff0c;所以就搁置了。十一假期与老同学聊天时了解到他也在做一个远程开关灯的小项目&#xff0c;所以就重新开始了WIFI远程开关灯的小项目。 本文使用…

[stm32]外中断控制灯光

在STM32CubeMX中配置外部中断功能和参数 1、将上拉输入的引脚设置为&#xff1a;GPIO_EXTI功能 2、GPIO模式设为下降沿触发外部中断&#xff0c;使能上拉电阻&#xff0c;用户标签 3、要将NVIC的相关中断勾选 只有将中断源进行勾选&#xff0c;相关的中断请求才能得到内核的…

STM32使用HAL库驱动DS18B20

1、STM32CubeMx配置IO口 因为DS18B20是单总线&#xff0c;数据接收发送都是这根线&#xff0c;所以单片机配置为开漏上拉输出。 2、定时器配置 因为DS18B20对时序要求比较严格&#xff0c;建议用定时器延时获得微秒延时函数。 总线为48M&#xff0c;分频48&#xff0c;获得1…

FreeROTS 任务通知和实操 详解

目录 什么是任务通知&#xff1f; 任务通知值的更新方式 任务通知的优势和劣势 任务通知的优势 任务通知的劣势 任务通知相关 API 函数 1. 发送通知 2. 等待通知 任务通知实操 1. 模拟二值信号量 2. 模拟计数型信号量 3. 模拟事件标志组 4. 模拟消息邮箱 什么是任务…

【stm32芯片设置解惑】:stm32F103系列的开漏输出和推挽输出的区别

场景&#xff1a; 大家在开发stm32的时候&#xff0c;不管是标准库开发还是hal库开发&#xff0c;最基础的就是芯片引脚的某某设置&#xff0c;为什么这么设置&#xff1f;这样设置的好处是什么&#xff1f; 问题描述 — 开漏输出和推挽输出的用处和区别 什么是开漏输出&#x…

【STM32 中断】

提示&#xff1a;文章写完后&#xff0c;目录可以自动生成&#xff0c;如何生成可参考右边的帮助文档 STM32中断 前言一、STM32的中断如何&#xff1f;如何管理这么复杂的中断&#xff1f;实际优先级如下怎么使用呢&#xff1f; 二、使用步骤1.引入库函数先分组&#xff0c;怎么…

STM32F407ZGT6移植AD7606

昨天调试的时候在STM32F103VCT6程序一切正常。但是将代码移植到STM32F407ZGT6时出现问题。 不能正常读取结果&#xff0c;会出现4996的错误信号而且经常出现&#xff0c;正确信号的值也不对乘2才能对上。 总结原因。 将AD7606_read_data里的延时参数修改为3、4、4问题解决。

RK3568-适配at24c04模块

将at24c04模块连接到开发板i2c2总线上 i2ctool查看i2c2总线上都有哪些设备 UU表示设备地址的从设备被驱动占用,卸载对应的驱动后,UU就会变成从设备地址。at24c04模块设备地址 0x50和0x51是at24c04模块i2c芯片的设备地址。这个从芯片手册上也可以得知。A0 A1 A2表示的是模块对…

Stm32_标准库_呼吸灯_按键控制

Stm32按键和输出差不多 PA1为LED供给正电&#xff0c;PB5放置按键&#xff0c;按键一端接PB5,另一端接负极 void Key_Init(void){RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOB, ENABLE); //APB2总线连接着GPIOBGPIO_InitStructur.GPIO_Mode GPIO_Mode_IPU;GPIO_InitStructur.…

STM32 UART通信协议 基础知识

通用异步收发器&#xff08;Universal Asynchronous Receiver/Transmitter)&#xff0c;通常称作UART&#xff0c;是一种串行、异步、全双工的通信协议。 在通信领域中&#xff0c;有两种数据通信方式&#xff1a;并行通信和串行通信。串口的数据传输是以串行方式进行的。串口在…

2023版 STM32实战4 滴答定时器精准延时

SysTick简介与特性 -1- SysTick属于系统时钟。 -2- SysTick定时器被捆绑在NVIC中。 -3- SysTick可以产生中断,且中断不可屏蔽。 SysTick的时钟源查看 通过时钟树可以看出滴答的时钟最大为72MHZ/89MHZ 通过中文参考手册也可以得到这个结论 代码编写&#xff08;已经验证&a…

stm32 - 初识2

stm32 - 初识2 工程架构点灯程序寄存器方式点灯库函数的方式点灯 工程架构 启动文件 中断向量表&#xff0c;中断服务函数&#xff0c;其他中断等 中断服务函数中的&#xff0c;复位中断是整个程序的入口&#xff0c;调用systeminit&#xff0c;和main函数 点灯程序 寄存器方式…

TouchGFX界面开发 | 添加触摸屏驱动

使用STM32CubeMX移植TouchGFX 一文中介绍了如何用TouchGFX点亮屏幕&#xff0c;但是此时屏幕还没有触摸的功能。下面将介绍如何添加触摸屏驱动到TouchGFX中 一、STM32CubeMX配置 在使用STM32CubeMX移植TouchGFX 文中的STM32CubeMX配置基础上&#xff0c;再激活一个定时器&…

SOC芯片学习--GPIO简介

原创 硬件设计技术 硬件设计技术 2023-07-20 00:04 发表于广东 收录于合集#集成电路--IC7个 一、GPIO定义、分类&#xff1a; GPIO&#xff08;英语&#xff1a;General-purpose input/output&#xff09;&#xff0c;通用型之输入输出的简称&#xff0c;其接脚可以供使用者由…

025 - STM32学习笔记 - 液晶屏控制(二) - 代码实现

025- STM32学习笔记 - 液晶屏控制&#xff08;二&#xff09; - 代码实现 好久没更新学习笔记了&#xff0c;最近工作上的事情太多了&#xff0c;趁着国庆中秋&#xff0c;多更新一点看看。 上节学习了关于LTDC与DMA2D以及显示屏的相关知识点&#xff0c;这节开始实操&#xf…

步进电机只响不转

我出现问题的原因是相位线接错。 我使用的滑台上示17H的步进电机&#xff0c;之前用的是57的步进电机。 57步进电机的相位线是A黑、A-绿、B红、B-蓝。 17步进电机的相位线是A红、A-绿、B黑、B-蓝。 这两天被一个问题困扰了好久&#xff0c;在调试步进电机开发板的时候电机发生…

【STM32 LVGL基础教程】初识LVGL

文章目录 前言一、什么是LVGL&#xff1f;二、LVGL的诞生历程三、LVGL的用途四、模拟器使用LVGL4.1 下载codeblocks并运行模拟器lvgl4.2 更改lvgl设置更改帧数更改颜色深度 五、STM32使用LVGL总结 前言 嵌入式系统中的图形用户界面&#xff08;GUI&#xff09;已经成为现代设备…

嵌入式学习笔记(41)实时时钟RTC

7.6.1何为实时时钟 (1)real time clock&#xff0c;真实时间&#xff0c;就是所谓的xx年x月x日x时x分x秒星期x (2)RTC是SoC中一个内部外设&#xff0c;RTC有自己独立的晶振提供RTC时钟源&#xff08;32.768KHz&#xff09;&#xff0c;内部有一些寄存器用来记录时间&#xff…

stm32之智能小车总结

作为学习stm32f103c8t6阶段的收官项目&#xff0c;这里做下总结&#xff0c;源码放在了最后。 一、功能描述 1、跟随功能2、循迹功能3、避障功能4、测速功能5、温湿度常显6、oled显示7、语音或蓝牙进行功能切换 二、主要代码解析 2.1、main main函数主要展示while里的功能&…

Stm32_标准库_14_串口蓝牙模块_解决手机与蓝牙模块数据传输的不完整性

由手机向蓝牙模块传输时间信息&#xff0c;Stm32获取信息并将已存在信息修改为传入信息 测试代码&#xff1a; #include "stm32f10x.h" // Device header #include "Delay.h" #include "OLED.h" #include "Serial.h"uint16_t num…

STM32MP157按键中断实验

按键配置 #include "key_it.h" #include "stm32mp1xx_gpio.h" #include "stm32mp1xx_gic.h" #include "stm32mp1xx_exti.h" #include "stm32mp1xx_rcc.h"void key_it_config() {/* RCC使能GPIOF时钟 */RCC->MP_AHB4ENSE…

ODrive移植keil(五)—— 开环控制和电流变换

目录 一、开环控制1.1、控制原理1.2、硬件接线1.3、代码说明1.4、程序演示1.5、程序架构的体现 二、电流变换2.1、理论说明2.2、代码说明 ODrive、VESC和SimpleFOC 教程链接汇总&#xff1a;请点击 一、开环控制 在SimpleFOC系列中有开环控制的教程&#xff0c;SimpleFOC移植S…

stm32mp157中断简单应用

设置按键中断&#xff0c;按键1按下&#xff0c;LED亮&#xff0c;再按一次&#xff0c;灭 按键2按下&#xff0c;蜂鸣器响。再按一次&#xff0c;不响 按键3按下&#xff0c;风扇转&#xff0c;再按一次&#xff0c;风扇停 main.c #include "gpio.h" #include &…

NB6L295M STM32 GD32 IO模拟驱动设计

本采用工程及相关参考文档&#xff0c;下载链接如下&#xff1a; NB6L295MSTM32GD32IO模拟驱动设计&#xff0c;内含有软件工程代码&#xff0c;实际项目中使用&#xff0c;有参考原理图和实际使用说明资源-CSDN文库 硬件设计 MCU采用GD32,GD32基本上和STM32一样,针对NBL295M…

【特纳斯电子】基于物联网的空气质量检测-实物设计

视频及资料链接&#xff1a;基于物联网的空气质量检测-实物设计 - 电子校园网 (mcude.com) 编号&#xff1a; T0082203M-SW 设计简介&#xff1a; 本设计是基于物联网的空气质量检测系统&#xff0c;主要实现以下功能&#xff1a; 1.通过OLED显示模式、温度、湿度、PM2.5、…

Stm32_标准库_11_ADC_光敏热敏传感器_测数值

在测量光敏传感器数值得基础上手动将通道改成热敏传感器通道即可 由于温度传感器的测量范围是-20 ~ 105摄氏度&#xff0c;所以输出温度得考虑带上符号这就需要在原有输出光照强度代码的基础上新添加几个函数 函数1&#xff1a; uint16_t AD_Getvailue(uint8_t ADC_Channel){…

基于STM32_DHT11单总线温湿度传感器驱动

基于STM32_DHT11单总线温湿度传感器驱动 文章目录 基于STM32_DHT11单总线温湿度传感器驱动前言一、DHT11&#xff1f;二、原理1.时序1.主机复位信号和 DHT11 响应信号2.信号‘0’的表示3.信号‘1’的表示4.整个数据信号收发流程 2.数据结构 三、驱动1 .h文件&#xff1a;2 .c文…

21.1 stm32使用LTDC驱动LCD--配置说明

本文讲解如何配置LTDC驱动LCD的参数配置&#xff0c;以及CubeMx参数配置说明 本文使用的是淘宝买的一块带电容触摸的液晶显示屏&#xff1a;5寸TFT液晶显示屏高清800*480免驱40P通用RGBIPS全视角彩屏GT911 说实话&#xff0c;价格还是相对挺便宜的&#xff0c;值得入手&#xf…

STM32 串口接收中断被莫名关闭

使用cubeidestm32f4进行调试&#xff0c;发现UART4串口会被莫名的关掉&#xff0c;导致不能接收数据&#xff0c;经过排查如下&#xff1a; HAL_StatusTypeDef HAL_UART_Transmit(UART_HandleTypeDef *huart, uint8_t *pData, uint16_t Size, uint32_t Timeout) {uint8_t *pd…

STM32-LIN总线详解1

.硬件规范&#xff1a; 1.总线形式&#xff1a;一主多从 2.总线通常为12V电压&#xff0c;最高波特率20K&#xff0c;最多容纳16个节点。 也有24V&#xff0c;和其他电平需要共地。 3.总线上波形 4. 单片机STM32与LIN收发器在LIN_CAN开发板上设计。 1K电阻自动控制电路&…

STM32 HAL库高级定时器输入捕获脉宽测量

STM32 HAL库高级定时器输入捕获脉宽测量 &#x1f4cc;相关篇《STM32 HAL库定时器输入捕获SlaveMode脉宽测量》 ✨相比于上面所使用的高级定时器输入捕获从模式来测量PWM信号&#xff0c;实现方法更为复杂一下&#xff0c;但是还是将实现的方法记录下来。 &#x1f4cc;本篇实现…

【FreeRTOS】【STM32】06 FreeRTOS的使用-动态创建单任务

前言 BaseType_t xTaskCreate( TaskFunction_t pxTaskCode,//任务函数const char * const pcName,//任务函数名称&#xff0c;字符串const uint16_t usStackDepth,//堆栈深度void * const pvParameters,//可能的其他参数UBaseType_t uxPriority,//优先级TaskHandle_t * const …

FreeRTOS入门教程(信号量的概念及API函数使用)

文章目录 前言一、什么是信号量二、信号量种类和对比三、信号量和队列的区别四、信号量相关的函数1.创建函数2.删除函数3.获取和释放信号量函数 总结 前言 本篇文章正式带大家开始学习什么是信号量&#xff0c;并且掌握信号量函数的基本使用方法&#xff0c;并且将和队列进行一…

stm32的时钟、中断的配置(针对寄存器),一些基础知识

一、学习参考资料 &#xff08;1&#xff09;正点原子的寄存器源码。 &#xff08;2&#xff09;STM32F103最小系统板开发指南-寄存器版本_V1.1&#xff08;正点&#xff09; &#xff08;3&#xff09;STM32F103最小系统板开发指南-库函数版本_V1.1&#xff08;正点&#xff0…

【STM32】--基础了解

一、STM32来历背景 1.从51到STM32 &#xff08;1&#xff09;单片机有很多种 &#xff08;2&#xff09;STM32内核使用ARM&#xff0c;但是ARM不一定是STM32 &#xff08;3&#xff09;ATM32是当前主流的32位高性能单片机 &#xff08;4&#xff09;STM32的特点&#xff1a;高…

GD32F303RCT6不小心将读保护开启,导致后续程序烧不进去的解决办法

这里写自定义目录标题 错误现象判断读保护开启的方法用JLink-commander查看选项字节地址处的值 解锁读保护 错误现象 用j-flash v7.68b软件通过ARM仿真器设置接口为SWD烧录编译好的目标.bin文件&#xff0c;第一次烧录成功&#xff0c;后面再也烧录不进&#xff0c;出先现象 如…

通讯协议学习之路:USART协议理论

通讯协议之路主要分为两部分&#xff0c;第一部分从理论上面讲解各类协议的通讯原理以及通讯格式&#xff0c;第二部分从具体运用上讲解各类通讯协议的具体应用方法。 后续文章会同时发表在个人博客(jason1016.club)、CSDN&#xff1b;视频会发布在bilibili(UID:399951374) 一、…

STM32F407在RAM中执行程序

STM32F407在flash中执行代码的速度比在ram中执行代码的速度快。因为STM32F407有一颗“自适应实时存储器加速器”&#xff0c;这里不讨论ART Accelerator的加速方案。 把代码放在RAM中执行纯粹是为了学习。 将个别函数的代码放到RAM中运行 使用自己编写的链接脚本(sct文件)。 …

SD卡与emmc的异同

eMMC与SD卡的异同&#xff1a; 物理尺寸和接口&#xff1a; eMMC&#xff1a;eMMC是一种嵌入式存储解决方案&#xff0c;通常采用BGA&#xff08;Ball Grid Array&#xff09;封装&#xff0c;焊接在电路板上。它没有标准的物理尺寸&#xff0c;而是以芯片的形式存在。SD卡&…

STM32 __attribute__((section(“***“)))

1.在参考例程做STM32 IAP升级时遇到了关于__attribute__((section("***")))的问题&#xff0c;例程使用的是 uint8_t USART_RX_BUF[USART_REC_LEN] __attribute__ ((at(0X20001000))); 例程在使用CUBEIDE编译时提示warning: at attribute directive ignored [-Watt…

STM32 大小端与字节对齐使用记录

大小端 串口数据包解析 MDK stm32 小段模式 接收到的数据包&#xff1a; DD 03 00 1B 11 59 00 00 00 00 17 70 00 00 2F 39 00 00 00 00 00 03 23 64 00 0E 02 0B 6E 0B 84 FC EA 77 其中数据内容为&#xff1a; DD 03 00 1B 11 59 //电压mV 00 00 00 00 17 70 …

STM32F4X定时器之基本定时器

一、定时器的概述 进行有规律的计数&#xff0c;每记一次数的时间都是固定的 定时器的本质&#xff1a;计数的总时间 记一次数的时间&#xff08;时钟的频率&#xff09; * 记多少次&#xff08;重装载值&#xff09;。 基本定时器属于片上外设&#xff0c;系统滴答定时器是…

基于STM32CubeMX和keil采用USART/UART实现非中断以及中断方式数据回环测试借助CH340以及XCOM

文章目录 前言1. 接口概述1.1 USART/UART接口1.2 串口通信参数1.3 波特率计算 2. 传输函数3. 回环测试3.1 上位机环境配置3.2 阻塞模式3.3 中断模式 4. STM32CubeMX配置4.1 时钟配置4.2 调试配置4.3 串口引脚配置4.4 工程配置 5. 测试效果6. 不借助上位机回环测试总结 前言 这…

ARM映像文件组成

引言 ARM编译器将各种源文件&#xff08;汇编文件、C语言程序文件、C语言程序文件&#xff09;编译生成ELF格式的目标文件&#xff08;后缀为.o文件&#xff0c;以下将目标文件简称为.o文件&#xff09;&#xff0c;.o文件经过连接器&#xff0c;和C/C运行时库一起编译生成ELF格…

STM32F4_FATFS

目录 前言 1. 文件系统简介 2. FATFS文件系统 2.1 实际演练 2.2 FATFS读书笔记整理 2.3 FAT文件系统的神秘面纱 2.3.1 引导扇区 2.3.2 引导代码 2.3.3 FSINF0信息扇区 2.3.4 FAT表 2.3.5 FAT32数据区 2.3.6 子目录 2.3.7 目录项 3. 实验程序 3.1 main.c 3.2 di…

STM32进行LVGL裸机移植

本文的移植参考的是正点原子的课程《手把手教你学LVGL图形界面编程》 基于该课程和《LVGL开发指南_V1.3》“第二章 LVGL 无操作系统移植”&#xff0c;然后结合自身的实际情况进行整理。 先根据自己的习惯&#xff0c;创建基础的单片机工程&#xff0c;然后在APP业务层和DRIVE…

MFC Windows 程序设计[327]之表格控件例程三(附源码)

MFC Windows 程序设计[327]之表格控件例程三 程序之美前言主体运行效果核心代码逻辑分析结束语程序之美 前言 MFC是微软公司提供的一个类库(class libraries),以C++类的形式封装了Windows API,并且包含一个应用程序框架,以减少应用程序开发人员的工作量。其中包含大量Wi…

最详细STM32,cubeMX 定时器

这篇文章将详细介绍 STM32,cubeMX 定时器的配置和使用。 文章目录 前言一、定时器基础知识二、cubeMX 配置三、定时时长四、自动生成代码讲解五、实验程序总结 前言 实验开发板&#xff1a;STM32F103C8T6。所需软件&#xff1a;keil5 &#xff0c; cubeMX 。实验目的&#xff…

STM32 HAL库函数——HAL_TIM_Base_Start_IT()详解

以STM32G030C8T6中的HAL_TIM_Base_Start_IT()函数为例&#xff0c;进行解释&#xff1b; 文章目录 一、函数原型和源代码二、函数用法详解&#xff1a;2.1 参数2.1.1 TIM_HandleTypeDef结构体详解 2.2 使用场景&#xff1a;2.3 使用方法&#xff1a; 三、函数使用示例&#xff…

正点原子嵌入式linux驱动开发——Linux自带LED驱动

前面都是自己编写LED灯驱动&#xff0c;其实像LED灯这样非常基础的设备驱动&#xff0c;Linux内核已经集成了。Linux内核的LED灯驱动采用platform框架&#xff0c;因此只需要按照要求在设备树文件中添加相应的LED节点即可&#xff0c;本章就来学习如何使用Linux内核自带的LED驱…

MFC Windows 程序设计[330]之表头控件例程(附源码)

MFC Windows 程序设计[330]之表头控件例程 程序之美前言主体运行效果核心代码逻辑分析结束语程序之美 前言 MFC是微软公司提供的一个类库(class libraries),以C++类的形式封装了Windows API,并且包含一个应用程序框架,以减少应用程序开发人员的工作量。其中包含大量Wind…

【RTOS学习】同步与互斥 | 队列 | 队列集

&#x1f431;作者&#xff1a;一只大喵咪1201 &#x1f431;专栏&#xff1a;《RTOS学习》 &#x1f525;格言&#xff1a;你只管努力&#xff0c;剩下的交给时间&#xff01; 同步与互斥 | 队列 | 队列集 &#x1f349;同步与互斥&#x1f9ca;同步&#x1f9ca;互斥 &#…

正点原子嵌入式linux驱动开发——Linux INPUT子系统

按键、鼠标、键盘、触摸屏等都属于输入(input)设备&#xff0c;Linux内核为此专门做了一个叫做input子系统的框架来处理输入事件。输入设备本质上还是字符设备&#xff0c;只是在此基础上套上了input框架&#xff0c;用户只需要负责上报输入事件&#xff0c;比如按键值、坐标等…

【STM32】RCC时钟模块(使用HAL库)

https://gitee.com/linhir-linhir/stm32-f103-c8/blob/master/STM32%E6%9C%80%E6%96%B0%E5%9B%BA%E4%BB%B6%E5%BA%93v3.5/Libraries/STM32F10x_StdPeriph_Driver/inc/stm32f10x_rcc.h STM32最新固件库v3.5/Libraries/CMSIS/CM3/DeviceSupport/ST/STM32F10x/system_stm32f10x.c…

正点原子嵌入式linux驱动开发——Linux I2C驱动

在电子产品硬件设计当中&#xff0c;I2C 是一种很常见的同步、串行、低速、近距离通信接口&#xff0c;用于连接各种IC、传感器等器件&#xff0c;它们都会提供I2C接口与SoC主控相连&#xff0c;比如陀螺仪、加速度计、触摸屏等&#xff0c;其最大优势在于可以在总线上扩展多个…

【STM32】GPIO控制LED(HAL库版)

STM32最新固件库v3.5/Libraries/CMSIS/CM3/DeviceSupport/ST/STM32F10x/system_stm32f10x.c 林何/STM32F103C8 - 码云 - 开源中国 (gitee.com) STM32最新固件库v3.5/Libraries/STM32F10x_StdPeriph_Driver/src/stm32f10x_gpio.c 林何/STM32F103C8 - 码云 - 开源中国 (gitee.…

基于STM32的示波器信号发生器设计

**单片机设计介绍&#xff0c;基于STM32的示波器信号发生器设计 文章目录 一 概要二、功能设计设计思路 三、 软件设计原理图 五、 程序文档 六、 文章目录 一 概要 基于STM32的示波器信号发生器是一种高性能的电子仪器&#xff0c;用于测试和分析电路中的电信号。在该系统中&a…

STM32:串口轮询模式、中断模式、DMA模式和接收不定长数据

一.串口轮询模式底层机制&#xff1a; 在STM32每个串口的内部都有两个寄存器&#xff1a;发送数据寄存器(TDR)/发送移位寄存器,当我们调用HAL_UART_Transmit 把数据发送出去时&#xff0c;CPU会将数据依次将数据发送到数据寄存器中&#xff0c;移位寄存器中的数据会根据我们设置…

【STM32】STM32中断体系

一、STM32的NVIC和起始代码中的ISP 1.NVIC(嵌套向量中断控制器) (1)数据手册中相关部分浏览 (2)地址映射时0地址映射到Flash或SRAM (3)中断向量表可以被人为重新映射&#xff0c;一般用来IAP中 (4)STM32采用一维的中断向量表 (5)中断优先级设置有点复杂&#xff0c;后面细说 1…

STM32-电源管理(实现低功耗)

电源管理 STM32 HAL库对电源管理提供了完善的函数和命令。 工作模式&#xff08;高功耗->低功耗&#xff09;&#xff1a;运行、睡眠、停止、待机。 若备份域电源正常供电&#xff0c;备份域内的RTC都可以正常运行&#xff0c;备份域内的寄存器的数据会被保存&#xff0c;不…

stm32 模拟I2C

目录 简介 I2C 物理层 协议层 ①②&#xff1a;起始信号和结束信号 ③ 应答和非应答信号 ④数据有效性 ⑤数据传输 ⑥空闲状态 简介 I2C 物理层 一个 I2C 总线两条线组成&#xff0c;一个双向串行数据线SDA用来表示数据&#xff0c;一个串行时钟线SCL用于数据收发同步…

嵌入式开发学习之STM32F407定时器中断配置(四)

嵌入式开发学习之STM32F407定时器中断配置&#xff08;四&#xff09; 此次实现目的开发涉及工具一、TIM参数配置和中断配置二、TIM的中断服务函数 此次实现目的 1.配置一个TIM进行计时&#xff0c;让一颗LED以点亮500ms&#xff0c;熄灭500ms的方式闪烁&#xff1b; 有工程实…

STM32 定时器介绍

STM32F103系列单片机定时器主要有&#xff1a;系统定时器SysTick&#xff0c;2个高级定时器TIM1和TIM8&#xff0c;4个通用定时器TIM2/3/4/5&#xff0c;2个基本定时器TIM6和TIM7。下面先简单介绍一下&#xff1a; 基本定时器&#xff1a;基本定时器只能计时&#xff0c;不能产…

嵌入式面经

目录 1.驱动是如何加载的 2.中断 中断的含义和类型 中断优先级的分类和区别 有抢占优先级为什么还要子优先级&#xff1f; 什么是中断嵌套&#xff0c;stm32中中断嵌套是怎么实现的 中断结构 中断向量表的存储在什么地方 中断向量表的大小 中断使用方法 什么是DMA&am…

Betaflight关于STM32F405 SBUS协议兼容硬件电气特性问题

Betaflight关于STM32F405 SBUS协议兼容硬件电气特性问题 1. 源由2. 储备知识2.1 三态逻辑(Tri-state Logic)2.1 上拉 (Pull-up)2.2 下拉 (Pull-down)2.3 Current Sink2.4 Current Source2.5 GPIO输入模式2.6 GPIO输出模式 3. FPV系统协议简介3.1 TX Protocols – communication…

【ESP32-PC电脑windows-环境搭建-记录-hello-world-运行基础样例学习】

【ESP32-PC电脑windows-环境搭建-记录-hello-world-运行基础样例学习】 1、概述2、实验环境3、 物品说明4、自我总结5、本次实验说明6、实验过程&#xff08;1&#xff09;官方软件下载&#xff1a;软件“Espressif-IDE”和“ESP-IDE”&#xff08;2&#xff09;VScode软件下载…

【Proteus仿真】【Arduino单片机】SG90舵机控制

文章目录 一、功能简介二、软件设计三、实验现象联系作者 一、功能简介 本项目使用Proteus8仿真Arduino单片机控制器&#xff0c;使用SG90舵机等。 主要功能&#xff1a; 系统运行后&#xff0c;舵机开始运行。 二、软件设计 /* 作者&#xff1a;嗨小易&#xff08;QQ&#x…

FreeRTOS_信号量之计数型信号量

目录 1. 计数型信号量 1.1 计数型信号量简介 1.2 创建计数型信号量 1.2.1 函数 xSemaphoreCreateCounting() 1.2.2 函数 xSemaphoreCreateCountingStatic() 1.3 计数型信号量创建过程分析 1.4 释放和获取信号量 1.5 计数型信号量操作实验 1.5.1 实验程序设计 1.5.1.1…

77、基于STM32单片机学生信息管理系统指纹密码控制设计(程序+原理图+参考论文+相关资料+开题报告+任务书+元器件清单等)

单片机主芯片选择方案 方案一&#xff1a;AT89C51是美国ATMEL公司生产的低电压&#xff0c;高性能CMOS型8位单片机&#xff0c;器件采用ATMEL公司的高密度、非易失性存储技术生产&#xff0c;兼容标准MCS-51指令系统&#xff0c;片内置通用8位中央处理器(CPU)和Flash存储单元&a…

【FreeRTOS】FreeRTOS移植stm32详细步骤介绍

我在查找FreeRTOS移植的相关教程特别少&#xff0c;所以想非常详细的介绍FreeRTOS移植stm32详细步骤&#xff0c;包括源码的下载&#xff0c;源码介绍&#xff0c;系统移植&#xff0c;代码验证等&#xff0c;每一步都有对应的介绍和解释&#xff0c;希望可以帮助到你们。 文章…

正点原子嵌入式linux驱动开发——TF-A初探

上一篇笔记中&#xff0c;正点原子的文档简单讲解了一下什么是TF-A&#xff0c;并且也学习了如何编译TF-A。但是TF-A是如何运行的&#xff0c;它的一个运行流程并未涉及。TF-A的详细运行过程是很复杂的&#xff0c;涉及到很多ARM处理器底层知识&#xff0c;所以这一篇笔记的内容…

GD32F103x 定时器

1. 定时器的基本介绍 STM32的定时器主要分为三种&#xff1a;高级定时器、通用定时器、基本定时器。 即&#xff1a;高级定时器具有捕获/比较通道和互补输出&#xff0c;死区时间&#xff0c;通用定时器只有捕获/比较通道&#xff0c;基本定时器没有以上两者。 1. 基本定时…

【STM32基础 CubeMX】从0带你点灯

文章目录 前言一、GPIO的概念二、CubeMX配置GPIO2.1 基础配置2.2 GPIO配置 三、点灯代码讲解3.1 cubemx生成的代码3.2 1个库函数 四、LED闪烁总结 前言 一、GPIO的概念 STM32是一系列微控制器芯片的品牌&#xff0c;它们用于控制各种电子设备。其中的GPIO是通用输入/输出端口的…

正点原子lwIP学习笔记——MQTT协议

1. MQTT简介 MQTT是一种基于客户端服务端架构的发布/订阅模式的消息传输协议。他的设计思想是轻巧、开放、简单、规范&#xff0c;易于实现。这些特点使得他对很多场景来说都是很好的选择&#xff0c;尤其是对于受限的环境如机器与机器的通信&#xff08;M2M&#xff09;以及物…

5.外部中断

中断初始化配置步骤&#xff1a; IO口初始化配置 开启中断总允许EA 打开某个IO口的中断允许 打开IO口的某一位的中断允许 配置该位的中断触发方式 中断函数&#xff1a; #pragma vector PxINT_VECTOR __interrupt void 函数名(void){}#pragma vector PxINT_VECTOR __int…

STM32-C语言结构体地址

定义2个结构体 typedef struct _demo_node_{ //结构体本身的地址struct _demo_node_* pprenode; //实际地址开始的位置&#xff0c;最下面的输出结果可以看出struct _demo_node_* pnextnode;unsigned long member_num;unsigned short age;char addr[0]; …

GD32F103 ADC

1. 模拟量于数字量。 模拟量&#xff1a;反应真实世界中的物理量&#xff08;比如温度&#xff0c;压力&#xff0c;长度&#xff09;模拟量通常是通过电压&#xff0c;电流等信号来表示。 数字量&#xff1a;通常是0和1来表示某个物理量的变化。 2. ADC&#xff08;模拟量转…

stm32 - 串口

stm32 - 串口 OLED显示屏 OLED显示屏 oled.h #ifndef __OLED_H #define __OLED_Hvoid OLED_Init(void); void OLED_Clear(void); void OLED_ShowChar(uint8_t Line, uint8_t Column, char Char); void OLED_ShowString(uint8_t Line, uint8_t Column, char *String); void OLE…

嵌入式学习笔记(56)LCD的接口技术

12.2.1从电平角度讲本质上都是TTL信号 (1)什么是TTL接口。5V表示逻辑1&#xff0c;0V表示逻辑0。这种就叫TTL电平&#xff0c;和CMOS电平相对比。 (2)SoC的LCD控制器硬件接口是TTL电平的&#xff0c;LCD这边硬件接口也是TTL电平的。所以他们俩本来是可以直接对接的&#xff0…

Stm32_标准库_13_串口蓝牙模块_手机与蓝牙模块通信

代码&#xff1a; #include "stm32f10x.h" // Device header #include "Delay.h" #include "OLED.h" #include "Serial.h"char News[100] "";uint8_t flag 1;void Get_Hc05News(char *a){uint32_t i 0…

Stm32_标准库_15_串口蓝牙模块_手机与蓝牙模块通信_BUG修复

代码&#xff1a; #include "stm32f10x.h" // Device header #include "Delay.h" #include "OLED.h" #include "Serial.h" #include "Time.h" #include "Function.h" #include <stdio.h> #include <…

stm32 - 中断/定时器

stm32 - 中断/定时器 概念定时器类型基准时钟&#xff08;系统时钟&#xff09;预分频器 - 时基单元CNT计数器 - 时基单元自动重装寄存器 - 时基单元基本定时器结构通用定时器计数器模式 概念 定时器类型 高级定时器&#xff1a;TIM1,TIM8 -> APB2总线&#xff0c;通用定时…

【STM32基础 CubeMX】uart串口通信

文章目录 前言一、uart串口通信是什么二、cubeMX配置uart三、代码分析3.1 CubeMX代码分析3.2 以中断启动串口接收3.3 以查询方式发送数据3.4 串口回调函数 四、示例代码4.1 最简单的使用4.2 实现fputc函数以使用printf函数 总结 前言 在嵌入式系统开发领域&#xff0c;串口通信…

嵌入式学习笔记(42)SD卡的编程接口

8.3.1 SD卡的物理接口 SD卡由9个针脚与外界进行物理连接&#xff0c;这9个脚中有2个地&#xff0c;1个电源&#xff0c;6个信号线。 8.3.2 SD协议与SPI协议 (1)SD卡与SRAM/DDR/SROM之类的东西的不同&#xff1a;SRAM/DDR/SROM之类的存储芯片是总线式的&#xff0c;只要连接上…

【STM32基础 CubeMX】ADC的基础使用

文章目录 前言一、ADC是什么二、使用CubeMX配置ADC三、代码分析3.1 cubemx生成代码分析3.2 ADC HAL库函数HAL_ADC_Start_IT开启adc中断函数获取ADC值 四、示例代码&#xff1a;获取光敏电阻的值总结 前言 在嵌入式系统开发中&#xff0c;STM32系列微控制器是广泛应用的一种硬件…

软考中级—— 操作系统知识

进程管理 操作系统概述 操作系统的作用&#xff1a;通过资源管理提高计算机系统的效率&#xff1b;改善人机界面向用户提供友好的工作环境。 操作系统的特征&#xff1a;并发性、共享性、虚拟性、不确定性。 操作系统的功能&#xff1a;进程管理、存储管理、文件管理、设备…

STM32HAL库CRC学习及测试记录

STM32HAL库CRC学习及测试记录 1.CRC的校验原理2.基本原理3.几个基本概念13.1.1 CRC检验码的计算13.1.2 错误检测13.2 STM32中的CRC 4.CRC功能描述5.STM32Cube生成工程6.看官方说如何使用这个驱动程序7.实验现象 1.CRC的校验原理 循环冗余校验(CRC)计算单元是根据固定的生成多项…

STM32复习笔记(六):STM32远程升级BootLoader相关

目录 Preface&#xff1a; &#xff08;一&#xff09;STM32上电启动流程 &#xff08;二&#xff09;BootLoader相关 &#xff08;三&#xff09;Clion配置 Preface&#xff1a; 有关STM32的BootLoader主要还是参考了许多大佬的文章&#xff0c;这里只是简单地列举一下&am…

嵌入式学习笔记(44)S5PV210的SD卡启动实战

8.5.1任务&#xff1a;大于16KB的bin文件使用SD卡启动 (1)总体思路&#xff1a;将我们的代码分为2部分&#xff0c;第一部分BL1小于等于16KB&#xff0c;第二部分为任意大小&#xff0c;iROM代码执行完成后从SD卡启动会自动读取BL1到iRAM中执行&#xff1b;BL1执行时负责初始化…

项目进展(八)-编写代码,驱动ADS1285

一、代码 根据芯片的数据手册编写部分驱动&#xff0c;首先看部分引脚的波形&#xff1a; DRDY: CS&#xff1a; 首先在代码初始化时连续写入三个寄存器&#xff1a; void WriteReg(uint8_t startAddr, uint8_t *regData, uint8_t number) {uint8_t i0;// 循环写number1次…

026 - STM32学习笔记 - 液晶屏控制(三) - DMA2D快速绘制矩形、直线

026- STM32学习笔记 - 液晶屏控制&#xff08;三&#xff09; - DMA2D快速绘制矩形、直线等 上节直接操作LTDC在先视频上直接显示&#xff0c;我们直接操作显存地址空间中的内容&#xff0c;用来显示图形&#xff0c;但是相对来说&#xff0c;这种方法费时费力&#xff0c;这节…

C中volatile总结

在CPU处理过程中&#xff0c;需要将内存中的数据载入到寄存器中才能计算&#xff0c;所以可能涉及到一个问题&#xff0c;如果内存中的数据被更改了&#xff0c;但是寄存器还是使用的旧数据&#xff0c;这样就会造成数据的不同步。 一、volatile关键字的作用 使用volatile关键…

(一)正点原子STM32MP135移植——准备

一、简述 使用板卡&#xff1a;正点原子的ATK-DLMP135 V1.2 从i.mx6ull学习完过来&#xff0c;想继续学习一下移植uboot和内核的&#xff0c;但是原子官方没有MP135的移植教程&#xff0c;STM32MP157的移植教程用的又是老版本的代码&#xff0c;ST官方更新后的代码不兼容老版本…

FreeRTOS入门教程(队列详细使用示例)

文章目录 前言一、队列基本使用二、如何分辨数据源三、传输大块数据总结 前言 上篇文章我们已经讲解了队列的概念和队列相关的API函数&#xff0c;那么本篇文章的话就开始带大家来学习使用队列。 一、队列基本使用 这个例子将会创建三个任务&#xff0c;其中两个任务用来发送…

【STM32单片机】俄罗斯方块游戏设计

文章目录 一、功能简介二、软件设计三、实验现象联系作者 一、功能简介 本项目使用STM32F103C8T6单片机控制器&#xff0c;使用按键、IIC OLED模块等。 主要功能&#xff1a; 系统运行后&#xff0c;OLED显示俄罗斯方块游戏界面并开始游戏&#xff0c;KEY1键用于方块方向旋转&…

STM32 使用内部晶振导致 Can 通讯异常

一、开发背景 STM32F407 执行板间通讯&#xff0c;一段时间后 CAN 通讯异常&#xff0c;进入 CAN 错误中断&#xff0c;CAN 节点被隔离。 二、开发环境 MDK5 STM32F407 三、实现步骤 1、问题原因 STM32 使用内部晶振&#xff0c;仪器运行一段时间后板卡温度上升&#xff08;…

stm32的GPIO寄存器操作以及GPIO外部中断,串口中断

一、学习参考资料 &#xff08;1&#xff09;正点原子的寄存器源码。 &#xff08;2&#xff09;STM32F103最小系统板开发指南-寄存器版本_V1.1&#xff08;正点&#xff09; &#xff08;3&#xff09;STM32F103最小系统板开发指南-库函数版本_V1.1&#xff08;正点&a…

详解CAN通信的标识符掩码和标识符列表两种过滤机制

CAN 通信的应用非常广泛&#xff0c;本文不涉及CAN通信的基础配置&#xff0c;重点分析一下STM32和GD32的CAN通信两种ID过滤方式。 首先&#xff0c;不管是STM32还是GD32&#xff0c;实现CAN通信ID过滤的机制和原理一定是一样的&#xff0c;只是用到的寄存器有差别。 1. ID过…

【FreeRTOS】【STM32】03 FreeRTOSConfig.h头文件简介与修改

基于[野火]《FreeRTOS%20内核实现与应用开发实战—基于STM32》.pdf FreeRTOSConfig.h头文件是FreeRTOS各项功能的打开与关闭 FreeRTOSConfig.h头文件简介 之前也说过了&#xff0c;FreeRTOSConfig.h文件可以添加在工程中任意文件夹&#xff0c;只需要在路径中添加好了就行。…

【FreeRTOS】【STM32】02 FreeRTOS 移植

基于 [野火]《FreeRTOS%20内核实现与应用开发实战—基于STM32》 正点原子《STM32F429FreeRTOS开发手册_V1.2》 准备 基础工程&#xff0c;例如点灯 FreeRTOS 系统源码 FreeRTOS 移植 上一章节已经说明了Free RTOS的源码文件在移植时所需要的&#xff0c;FreeRTOS 为我们提供…

stm32之freeRTOS驱动小车

该文章记录将stm32之智能小车总结移植到freeRTOS上&#xff0c;期间也遇到了好些问题&#xff0c;这里做下记录。也是对freeRTOS的一个应用实践。 一、freeRTOS工程的创建 工程是利用CubeMX进行创建的&#xff0c;挺简单的&#xff0c;有空再试下手动移植freeRTOS。 启用软件定…

2023版 STM32实战8 独立看门狗(IWDG)

IWDG简介 STM32F10xxx内置两个看门狗&#xff0c;提供了更高的安全性、时间的精确性和使用的灵活性。两个看门狗设备(独立看门狗和窗口看门狗)可用来检测和解决由软件错误引起的故障。 说人话就是能解决程序跑飞的问题。 编写代码思路 -1- 使用这个功能必须解除写保护 -2-…

正点原子嵌入式linux驱动开发——Linux内核顶层Makefile详解

之前的几篇学习笔记重点讲解了如何移植uboot到STM32MP157开发板上&#xff0c;从本章就开始学习如何移植Linux内核。 同uboot一样&#xff0c;在具体移植之前&#xff0c;先来学习一下Linux内核的顶层Makefile文件&#xff0c;因为顶层 Makefile控制着Linux内核的编译流程。 L…

【智能家居项目】裸机版本——网卡设备接入输入子系统 | 业务子系统 | 整体效果展示

&#x1f431;作者&#xff1a;一只大喵咪1201 &#x1f431;专栏&#xff1a;《智能家居项目》 &#x1f525;格言&#xff1a;你只管努力&#xff0c;剩下的交给时间&#xff01; 目录 &#x1f95e;网卡设备接入输入子系统&#x1f354;测试 &#x1f95e;业务子系统&#…

【Proteus仿真】【Arduino单片机】简易电子琴

文章目录 一、功能简介二、软件设计三、实验现象联系作者 一、功能简介 本项目使用Proteus8仿真Arduino单片机控制器&#xff0c;使用无源蜂鸣器、按键等。 主要功能&#xff1a; 系统运行后&#xff0c;按下K1-K7键发出不同音调。 二、软件设计 /* 作者&#xff1a;嗨小易&a…

STM32H750之FreeRTOS学习--------(四)中断管理

四、FreeRTOS中断管理 中断的概念不再过多叙述&#xff0c;学习过逻辑的都知道 中断的执行过程 中断请求 外设产生中断请求&#xff08;GPIO外部中断、定时器中断等&#xff09;响应中断 CPU停止执行当前程序&#xff0c;转而去执行中断处理程序&#xff08;ISR&#xff09;…

USART使用

USART软件配置 具体步骤如下&#xff1a;&#xff08;USART 相关库函数在 stm32f10x_usart.c 和 stm32f10x_usart.h 文件中&#xff09; &#xff08;1&#xff09;使能串口时钟及 GPIO 端口时钟 前面说过 STM32F103C8T6 芯片具有 3 个串口&#xff0c;对应不同的引脚&#…

基于STM32室内空气净化监测系统设计

**单片机设计介绍&#xff0c;1649基于STM32室内空气净化监测系统设计 文章目录 一 概要二、功能设计设计思路 三、 软件设计原理图 五、 程序程序文档 六、 文章目录 一 概要 信息时代的进步&#xff0c;我们的生活潜移默化中发生了许多改变&#xff0c;物联网作为一个 陌生但…

exFAT文件系统的目录与文件存储

目录与文件存储的差异 在exFAT文件系统中&#xff0c;目录和文件的存储方式是不同的。 目录和文件都是以簇&#xff08;Cluster&#xff09;为单位进行存储&#xff0c;但它们的数据结构和用途不同。 目录的存储&#xff1a;目录&#xff08;子目录&#xff09;是用于组织和管…

FreeRTOS深入教程(任务创建的深入和任务调度机制分析)

文章目录 前言一、深入理解任务的创建二、任务的调度机制1.FreeRTOS中任务调度的策略2.FreeRTOS任务调度策略实现的核心3.FreeRTOS内部链表源码解析4.如何通过就绪链表管理任务的执行顺序 三、一个任务能够运行多久1.高优先级任务可抢占低优先级任务一直运行2.相同优先级的任务…

STM32基本定时器中断

提示&#xff1a;文章写完后&#xff0c;目录可以自动生成&#xff0c;如何生成可参考右边的帮助文档 文章目录 前言一、STM32定时器的结构&#xff1f;1. 51定时器的结构1.1如何实现定时1s的功能&#xff1f; 2. stm32定时器的结构2.1 通用定时器 二、使用步骤1.开启时钟2.初始…

单工通信、半双工通信、全双工通信分别是什么?

单工通信&#xff1a; 单工通信是一种单向传输数据的通信方式&#xff0c;只允许信息在一个方向上流动。在单工通信中&#xff0c;通信的两个节点中的一个节点只能作为发送方&#xff0c;而另一个节点只能作为接收方。发送方只负责发送数据&#xff0c;而接收方只负责接收数据&…

STM32:AHT20温湿度传感器驱动程序开发

注&#xff1a;温湿度传感器AHT20数据手册.pdf http://www.aosong.com/userfiles/files/AHT20%E4%BA%A7%E5%93%81%E8%A7%84%E6%A0%BC%E4%B9%A6(%E4%B8%AD%E6%96%87%E7%89%88)%20B1.pdf 一、分析AHT数据手册文档 (1).准备工作 1.新建工程。配置UART2 2.配置I2C1为I2C标准模式&…

STM32MPU6050角度的读取(STM32驱动MPU6050)

注&#xff1a;文末附STM32驱动MPU6050代码工程链接&#xff0c;需要的读者请自取。 一、MPU6050介绍 MPU6050是一款集成了三轴陀螺仪和三轴加速度计的传感器芯片&#xff0c;由英国飞利浦半导体&#xff08;现为恩智浦半导体&#xff09;公司生产。它通过电子接口&#xff08…

STM32 堆栈空间分布

参考 运行时访问__initial_sp和__heap_base 无RTOS时的情况 在以上配置的情况下&#xff0c;生成工程。在工程的startup.s文件中&#xff0c;由如下代码&#xff1a; Stack_Size EQU 0x400AREA STACK, NOINIT, READWRITE, ALIGN3 __Stack_top ; 自己添加 Stack_Mem…

栈回溯之CmBacktrace

简介 CmBacktrace &#xff08;Cortex Microcontroller Backtrace&#xff09;是一款针对 ARM Cortex-M 系列 MCU 的错误代码自动追踪、定位&#xff0c;错误原因自动分析的开源库。主要特性如下&#xff1a; 支持的错误包括&#xff1a; 断言&#xff08;assert&#xff09;…

【智能家居项目】裸机版本——认识esp8266 | 网络子系统

&#x1f431;作者&#xff1a;一只大喵咪1201 &#x1f431;专栏&#xff1a;《智能家居项目》 &#x1f525;格言&#xff1a;你只管努力&#xff0c;剩下的交给时间&#xff01; 如上图整个智能家居程序总体框架图&#xff0c;还剩下网络子系统没有实现&#xff0c;以及最终…

STM32 CubeMX PWM三种模式(HAL库)

STM32 CubeMX PWM两种模式&#xff08;HAL库&#xff09; STM32 CubeMX STM32 CubeMX PWM两种模式&#xff08;HAL库&#xff09;一、互补对称输出STM32 CubeMX设置代码部分 二、带死区互补模式STM32 CubeMX设置代码 三、普通模式STM32 CubeMX设置代码部分 总结 一、互补对称输…

正点原子嵌入式linux驱动开发——Linux内核移植

之前的两篇笔记&#xff0c;简单了解了一下Linux内核顶层 Makefile和Linux内核的启动流程&#xff0c;本篇内容来学习一下如何将ST官方提供的Linux内核移植到正点原子的STM32MP157开发板上。通过本章的学习&#xff0c;将掌握如何将半导体厂商提供的Linux BSP包移植到自己的平台…

STM32使用HAL库驱动TA6932数码管驱动芯片

TA6932介绍 8段16位&#xff0c;支持共阴共阳LED数码管。 2、STM32CUBEMX配置引脚 推挽配置即可。 3、头文件 /******************************************************************************************** * TA6932&#xff1a;8段16位数码管驱动 *******************…

STM32使用HAL库驱动DS3231

1、STM32通讯口配置 启动IIC&#xff0c;默认配置即可。 2、头文件 #ifndef __DS3231_H #define __DS3231_H#include "main.h"#define DS3231_COM_PORT hi2c1 /*通讯端口*//**************************** defines *******************************/ #define DS3231…

STM32 PA15/JTDI 用作普通IO,烧录口不能使用问题解决

我们一般用SW调试接口 所以DEBUG选择Serial Wire 这样PA15可以用作普通IO使用。 工程中默认加上&#xff1a; PA13(JTMS/SWDIO).ModeSerial_Wire PA13(JTMS/SWDIO).SignalDEBUG_JTMS-SWDIO PA14(JTCK/SWCLK).ModeSerial_Wire PA14(JTCK/SWCLK).SignalDEBUG_JTCK-SWCLK

MS31804四通道低边驱动器可pin对pin兼容DRV8804

MS31804TE 是一个具有过流保护功能的四通道低边驱动器。MS31804TE 内置钳位二极管&#xff0c;用来钳制由电感负载续流产生的电压。MS31804TE 可以驱动单极步进电机、直流电机、继电器、螺线管或者其它负载。 散热良好的情况下&#xff0c;MS31804TE 可以提供每个通道最高 2A 的…

【嵌入式】STM32F031K4U6、STM32F031K6U6、STM32F031K6T6主流ARM Cortex-M0基本型系列MCU规格参数

一、电路原理图 【嵌入式】STM32F031K4U6、STM32F031K6U6、STM32F031K6T6主流ARM Cortex-M0基本型系列MCU —— 明佳达 二、规格参数 1、STM32F031K4U6&#xff08;16KB&#xff09;闪存 32UFQFPN 核心处理器&#xff1a;ARM Cortex-M0 内核规格&#xff1a;32 位单核 速度&a…

STM32是什么意思?

ST 意法半导体&#xff0c;是一个公司的名字 M Microelectronics 的缩写&#xff0c;表示微控制器&#xff0c;要注意微控制器和微处理器 的区别 32 32bit的意思

正点原子嵌入式linux驱动开发——STM32MP1启动详解

STM32单片机是直接将程序下载到内部 Flash中&#xff0c;上电以后直接运行内部 Flash中的程序。 STM32MP157内部没有供用户使用的 Flash&#xff0c;系统都是存放在外部 Flash里面的&#xff0c;比如 EMMC、NAND等&#xff0c;因此 STM32MP157上电以后需要从外部 Flash加载程序…

STM32 DMA从存储器发送数据到串口

1.任务描述 &#xff08;1&#xff09;ds18b20测量环境温度存储到存储器&#xff08;数组&#xff09;中。 &#xff08;2&#xff09;开启DMA将数组中的内容&#xff0c;通过DMA发送到串口 存在问题&#xff0c;ds18b20读到的数据是正常的&#xff0c;但是串口只是发送其低…

STM32——系统时钟(概述,问题总结)

文章目录 前言系统时钟1.为什么32有那么多时钟源&#xff1f;2.主频有什么用?3.时钟与主频的关系&#xff1f;4.STM32提供了四种可选择的时钟源头。5.独立看门狗的时钟源只能是 LSI。 前言 系统时钟后续会出一个详细的关于STM32F103的系统时钟分析&#xff0c;可以关注一下。…

基于STM32设计的智能水母投喂器(华为云IOT)

基于STM32设计的智能水母养殖系统 一、设计简述 1.1 项目背景 水母是一种非常美丽和神秘的生物,在许多人的眼中,它不仅是一种宽广的海洋世界中的一道美丽的风景线,同时也是一种珍贵的实验动物和养殖资源。随着水母的养殖需求不断增多,一个高效、智能、可控的水母养殖系统…

【工具使用】STM32CubeMX-定时器PWM配置

一、概述 无论是新手还是大佬&#xff0c;基于STM32单片机的开发&#xff0c;使用STM32CubeMX都是可以极大提升开发效率的&#xff0c;并且其界面化的开发&#xff0c;也大大降低了新手对STM32单片机的开发门槛。     本文主要讲述STM32芯片定时器PWM功能的配置及其相关知识…

STM32智能小车(循迹、跟随、避障、测速、蓝牙、wife、4g、语音识别)总结

目录 1.电机模块开发 1.1 让小车动起来 1.2 串口控制小车方向 1.3 如何进行小车PWM调速 1.4 PWM方式实现小车转向 2.循迹小车 2.1 循迹模块使用 2.2 循迹小车原理 2.3 循迹小车核心代码 2.4 循迹小车解决转弯平滑问题 3.跟随/避障小车 3.1 红外壁障模块分析​编辑 …

Linux - 基础IO(Linux 当中的文件,文件系统调用接口,文件描述符)- 上篇

前言 首先&#xff0c;关于文件我们最先要理解的是&#xff0c;文件不仅仅存储的是数据&#xff0c;一个文件包括 内容 数据。内容好理解&#xff0c;就是我们先要这文件存储哪一些数据&#xff0c;这些数据就是文件的内容。 但是&#xff0c;在计算机当中&#xff0c;有两种…

基于STM32的LoRaWAN无线通信网络设计与实现

LoRaWAN (Long Range Wide Area Network) 是一种低功耗的无线通信技术&#xff0c;用于构建广域物联网。本篇文章将介绍基于STM32微控制器的LoRaWAN无线通信网络的设计与实现&#xff0c;并提供相应的代码示例。 概述 LoRaWAN的无线通信技术采用低功耗长距离传输&#xff0c;…

STM32两轮平衡小车原理详解(开源)

一、引言 关于STM32两轮平衡车的设计&#xff0c;我想在读者阅读本文之前应该已经有所了解&#xff0c;所以本文的重点是代码的分享和分析。至于具体的原理&#xff0c;我觉得读者不必阅读长篇大论的文章&#xff0c;只需按照本文分享的代码自己亲手制作一辆平衡车&#xff0c…

STM32外设系列—MPU6050角度传感器

&#x1f380; 文章作者&#xff1a;二土电子 &#x1f338; 关注公众号获取更多资料&#xff01; &#x1f438; 期待大家一起学习交流&#xff01; 文章目录 一、MPU6050简介二、MPU6050寄存器简介2.1 PWR_MGMT_1寄存器2.2 GYRO_CONFIG寄存器2.3 ACCEL_CONFIG寄存器2.4 PW…

PCB设计入门基础

PCB设计入门基础 PCB基本结构 copper foil 铜箔laminate 层压(或粘合)材料inner layer core 内层堆芯 PCB基本结构是一个三明治的结构&#xff0c;它的上层是一个铜层&#xff0c;底层也是一个铜层&#xff0c;中间层脚FR-4&#xff0c;FR-4是一层不导电的物质&#xff0c;叫做…

【STM32】基于HAL库建立自己的低功耗模式配置库(STM32L4系列低功耗所有配置汇总)

【STM32】基于HAL库建立自己的低功耗模式配置库&#xff08;STM32L4系列低功耗所有配置汇总&#xff09; 文章目录 低功耗模式&#xff08;此章节可直接跳过&#xff09;低功耗模式简介睡眠模式停止模式待机模式 建立自己的低功耗模式配置库通过结构体的方式来进行传参RTC配置…

2023最新版本 FreeRTOS教程 -3-消息队列-验证(动态创建)

队列概述 一块可读写的特殊缓冲区,读取空会导致任务挂起,以此来优化MCU的使用率 API函数 创建 g_xQueuePlatform xQueueCreate(10, //队列长度sizeof(struct input_data)//队列中每一个块的大小); 写入 xQueueSend(g_xQueuePlatform, &idata, 0);读取 xQueueReceive(g…

基于STM32设计的室内环境监测系统(华为云IOT)_2023

一、设计需求 基于STM32+华为云物联网平台设计一个室内环境监测系统,以STM32系列单片机为主控器件,采集室内温湿度、空气质量、光照强度等环境参数,将采集的数据结果在本地通过LCD屏幕显示,同时上传到华为云平台并将上传的数据在Android移动端能够实时显示、查看。 【1…

正点原子嵌入式linux驱动开发——pinctrl和gpio子系统

在上一篇笔记中&#xff0c;学习编写了基于设备树的LED驱动&#xff0c;但是驱动的本质还是没变&#xff0c;都是配置LED灯 所使用的GPIO寄存器&#xff0c;驱动开发方式和裸机基本没区别。Linux是一个庞大而完善的系统&#xff0c;尤其是驱动框架&#xff0c;像GPIO这种最基本…

通讯协议学习之路:IIC协议理论

通讯协议之路主要分为两部分&#xff0c;第一部分从理论上面讲解各类协议的通讯原理以及通讯格式&#xff0c;第二部分从具体运用上讲解各类通讯协议的具体应用方法。 后续文章会同时发表在个人博客(jason1016.club)、CSDN&#xff1b;视频会发布在bilibili(UID:399951374) 序&…

STM32标准外设库下载(下载地址与步骤详解)

文章目录 1. 概述2. 官方下载地址3. 步骤详解3.1 打开官网3.2 工具与软件 ➡ 嵌入式软件 ➡ MEMS软件3.3 微控制器软件 ➡ STM32微控制器软件 ➡ STM32标准外设软件库 ➡ 选择产品系列3.4 选择版本 ➡ 点击下载3.5 点击“接受” ➡ 填写邮箱信息 ➡ 点击“下载”3.6 点击接收到…

Stm32_标准库_期末设计_温度测量光照测量手机与芯片通信实现信息的更新

目录 1、前言&#xff1a;2、手机与芯片单向通信&#xff1a; 1、前言&#xff1a; 期末设计预期的效果是整个系统能对环境温度、环境的光照情况进行测量及显示&#xff0c;并且能对时间及日期进行显示。时间与日期的控制不再打算用按键进行修改&#xff0c;取而代之用蓝牙模块…

Keil实现Flash升级跳转(STM32/GD32/HC32)

编写BOOT程序&#xff0c;和APP程序。 BOOT程序检查OTA参数&#xff0c;执行OTA升级&#xff0c;然后跳转到APP代码。 记录一下跳转APP需要修改得东西&#xff1a; 1、BOOT程序 修改跳转地址 先检查APP地址是否有效 然后关闭外设 反初始化 设置MSP指针&#xff0c;进行跳转 …

STM32如何使用定时器

1、通用定时器介绍&#xff1a; STM32F1 的通用定时器包含一个 16 位自动重载计数器&#xff08;CNT&#xff09;&#xff0c;该计数器由 可编程预分频器&#xff08;PSC&#xff09;驱动。 STM32F103CT86 只有定时1/2/3/4 高级定时器1 2、拥有功能 STM32F1 的通用定时器包…

FreeRTOS_信号量之递归互斥信号量

目录 1. 递归互斥信号量 1.1 递归互斥信号量简介 1.2 创建互斥信号量 1.2.1 函数 xSemaphoreCreateRecursiveMutex() 1.2.2 函数 xSemaphoreCreateRecursiveMutexStatic() 2. 使用示例 1. 递归互斥信号量 1.1 递归互斥信号量简介 递归互斥信号量可以看作是一个特殊的互斥…

lvgl 页面管理器

lv_scr_mgr lvgl 界面管理器 适配 lvgl 8.3 降低界面之间的耦合使用较小的内存&#xff0c;界面切换后会自动释放内存内存泄漏检测 使用方法 在lv_scr_mgr_port.h 中创建一个枚举&#xff0c;用于界面ID为每个界面创建一个页面管理器句柄将界面句柄添加到 lv_scr_mgr_por…

stm32 cubeide 闪退 显示self upgrade failed

更新或安装新版cubeide时&#xff0c;可能会出现打开后一段时间直接闪退&#xff0c;显示如下图。此时怎么折腾cubeide都是没用的。应该去升级stm32 cubemx。记得打开cubemx时选择用管理员身份打开&#xff0c;升级完成后重新开打。然后尝试打开cubdeide&#xff0c;如果继续报…

单片机判断语句与位运算的坑

一.问题描述 在我判断Oled的某点的值是否为1时,用到了如下判断语句 if(oled[x][y/8] &1<<(y%8)但是,当我将其改为如下的判断语句,代码却跑出BUG了 if((oled[x][y/8]&1<<(y%8))1)二.原因分析 1.if语句理解错误 首选让我们看看下面的代码运行结果 #inc…

STM32CubeMX之DMA辅助串口数据接收

1.DMA辅助串口数据接收 1.1 DMA简介 直接存储器存取(DMA)用来提供在外设和存储器之间或者存储器和存储器之间的高速数据传输。无须CPU干预&#xff0c;数据可以通过DMA快速地移动&#xff0c;这就节省了CPU的资源来做其他操作。   两个DMA控制器有12个通道(DMA1有7个通道&am…

通讯协议学习之路:QSPI协议理论

通讯协议之路主要分为两部分&#xff0c;第一部分从理论上面讲解各类协议的通讯原理以及通讯格式&#xff0c;第二部分从具体运用上讲解各类通讯协议的具体应用方法。 后续文章会同时发表在个人博客(jason1016.club)、CSDN&#xff1b;视频会发布在bilibili(UID:399951374) 一、…

通讯协议学习之路:CAN协议理论

通讯协议之路主要分为两部分&#xff0c;第一部分从理论上面讲解各类协议的通讯原理以及通讯格式&#xff0c;第二部分从具体运用上讲解各类通讯协议的具体应用方法。 后续文章会同时发表在个人博客(jason1016.club)、CSDN&#xff1b;视频会发布在bilibili(UID:399951374) 序、…

STM32F103C8T6第一天:认识STM32 标准库与HAL库 GPIO口 推挽输出与开漏输出

1. 课程概述&#xff08;297.1&#xff09; 课程要求&#xff1a;C语言熟练&#xff0c;提前学完 C51 2. 开发软件Keil5的安装&#xff08;298.2&#xff09; 开发环境的安装 编程语言&#xff1a;C语言需要安装的软件有两个&#xff1a;Keil5 和 STM32CubeMX Keil5 的安装…

STM32-高级定时器

以STM32F407为例。 高级定时器 高级定时器比通用定时器增加了可编程死区互补输出、重复计数器、带刹车&#xff08;断路&#xff09;功能&#xff0c;这些功能都是针对工业电机控制方面。 功能框图 16位向上、向下、向上/向下自动重装载计数器。 16位可编程预分频器&#xff0c…

Rtthread源码分析<1>启动文件和链接脚本

启动文件和链接脚本 1&#xff09;启动文件 ​ 启动文件里面使用的是汇编语言&#xff0c;汇编语言常常可以分为两个部分语法风格和而不同的toolchain有不同的汇编语法风格&#xff0c;通常分配unified 和 非 unified。常见的工具包有 ARM toolchains 和 GNU toolchains 。比…

MATLAB 绘制 SISO 和 MIMO 线性系统的时间和频率响应图

系列文章目录 文章目录 系列文章目录前言一、时间响应二、频率响应三、极点/零点图和根节点四、响应特性五、分析 MIMO 系统六、系统比较七、修改时间轴或频率轴数值如果觉得内容不错&#xff0c;请点赞、收藏、关注 前言 本例演示如何绘制 SISO 和 MIMO 线性系统的时间和频率…

野火霸天虎 STM32F407 学习笔记_5 按键输入;位带操作介绍

输入——按键点灯 开发板按键电路如下&#xff1a; 按键未按下接地&#xff0c;按下后为高电平。电容起到消抖作用&#xff0c;软件处理就不需要手动延时消抖了。 编程没啥难度&#xff0c;就是改了一下输入模式。使用 ReadInputDataBits 读取。 //bsp_button.c #include &q…

STM32 GPIO 描述

一、GPIO功能描述 每个GPIO端口有两个32位配置寄存器(GPIOx_CRL&#xff0c;GPIOx_CRH) &#xff0c;两个32位数据寄存器 (GPIOx_IDR和GPIOx_ODR) &#xff0c;一个32位置位/复位寄存器(GPIOx_BSRR)&#xff0c;一个16位复位寄存器(GPIOx_BRR)和一个32位锁定寄存器(GPIOx_LCKR…

STM32H743XX/STM32H563XX芯片烧录一次后,再次上电无法烧录

近期在使用STM32H563ZIT6这款芯片在开发板上使用正常&#xff0c;烧录到自己打的板子就遇到了芯片烧录一次后&#xff0c;再次上电无法烧录的问题。 遇到问题需要从以下5点进行分析。 首先看下开发板的原理图 1.BOOT0需要拉高。 2.NRST脚在开发板上是悬空的。这里我建议大家…

【STM32】STM32的Cube和HAL生态

1.单片机软件开发的时代变化 1.单片机的演进过程 (1)第1代&#xff1a;4004、8008、Zilog那个年代&#xff08;大约1980年代之前&#xff09; (2)第2代&#xff1a;51、PIC8/16、AVR那个年代&#xff08;大约2005年前&#xff09; (3)第3代&#xff1a;51、PIC32、Cortex-M0、…

STM32F4X定时器之通用定时器

一、STM32通用定时器概述 通用定时器包括一个16位或32位自动重载计数器&#xff0c;可通过可编程预分频器进行驱动。定时器可以实现多种功能&#xff0c;包括测量输入信号的脉冲宽度和生成输出波形&#xff0c;通过使用定时器预分频器和RCC时钟控制器预分频器&#xff0c;可以…

正点原子嵌入式linux驱动开发——Linux WIFI驱动

WIFI的使用已经很常见了&#xff0c;手机、平板、汽车等等&#xff0c;虽然可以使用有线网络&#xff0c;但是有时候很多设备存在布线困难的情况&#xff0c;此时WIFI就是一个不错的选择。正点原子STM32MP1开发板支持USB和SDIO这两种接口的WIFI&#xff0c;本章就来学习一下如何…

CC1310F128RSMR Sub-1GHz超低功耗无线微控制器芯片

CC1310F128RSMR QFN-32 Sub-1GHz超低功耗无线微控制器 CC1310F128RSMR是一款低成本、 超低功耗、Sub-1 GHz射频器件&#xff0c;它是Simplel ink微控制器(MCU)平台的一部分。该平台由Wi- Fi组成、蓝牙低功耗&#xff0c;Sub-1 GHz&#xff0c;以太网&#xff0c;Zigbee线程和主…

STM32——NVIC中断优先级管理分析

文章目录 前言一、中断如何响应&#xff1f;NVIC如何分配优先级&#xff1f;二、NVIC中断优先级管理详解三、问题汇总 前言 个人认为本篇文章是我作总结的最好的一篇&#xff0c;用自己的话总结出来清晰易懂&#xff0c;给小白看也能一眼明了&#xff0c;这就是写博客的意义吧…

EOCR-3E420,3EZ,3DE电机保护器与变频器配合使用的方法

上海韩施电气自动化设备有限公司提供 在工业现场中&#xff0c;电动机的起动与运行很多时候需要变频器参与其中&#xff0c;以达到降低电机维护成本、增加电机寿命的目的。采用变频器运转时&#xff0c;随着电机的加速相应提高频率和电压&#xff0c;起动电流被限制在 150%额定…

基于STM32的设计智慧超市管理系统(带收银系统+物联网环境监测)

一、前言 基于STM32+OneNet设计的智慧超市管理系统(2023升级版) 1.1 项目背景 随着IoT技术的不断发展,智能无人超市也越来越受到人们的关注。智能无人超市是指在无人值守的情况下,通过物联网、大数据等技术手段实现自助选购、结算和配送的新型商场。当前设计了一种基于STM32…

Day1 ARM基础

【ARM课程认知】 1.ARM课程的作用 承上启下 基础授课阶段&#xff1a;c语言、数据结构、linux嵌入式应用层课程&#xff1a;IO、进程线程、网络编程嵌入式底层课程&#xff1a;ARM体系结构、系统移植、linux设备驱动c/QT 2.ARM课程需要掌握的内容 自己能够实现简单的汇编编…

STM32单片机在线升级,手机在线升级STM32单片机,固件远程下载方法,局域网在线程序下载

STM32单片机&#xff0c;是我们最常见的一种MCU。通常我们在使用STM32单片机都会遇到程序在线升级下载的问题。 STM32单片机的在线下载通常需要以下几种方式完成&#xff1a; 1、使用ST提供的串口下载工具&#xff0c;本地完成固件的升级下载。 2、自行完成系统BootLoader的编写…

STM32标准库的介绍以及使用

初识STM32标准库 因为基于cortex系列芯片采用的内核都是相同的&#xff0c;区别主要为核外的片上的差异&#xff08;片上外设主要有芯片生产商来定&#xff09;。这些差异却导致软件在同内核&#xff0c;不同外设的芯片上移植困难。为了解决不同芯片厂商生产的cortex微控制器软…

【STM32】GPIO输出

1 GPIO简介 &#xff08;1&#xff09;GPIO&#xff08;General Purpose Input Output&#xff09;通用输入输出口 &#xff08;2&#xff09;可配置为8种输入输出模式 &#xff08;3&#xff09;引脚电平&#xff1a;0V~3.3V&#xff0c;部分引脚可容忍5V&#xff08;可以输…

初步认识STM32的GPIO

STM32作为现在主流的单片机&#xff0c;在很多场所都能见到它的身影&#xff08;这里我就不细说了&#xff0c;别搞得好像我是个推销员似的~~&#xff09;。M3中绝大部分的引脚都是GPIO引脚&#xff08;除了电源、晶振、boot、下载、复位外&#xff09;。所以GPIO还是需要熟悉下…

STM32Cube +VSCode开发环境搭建

STM32Cube VSCode开发环境搭建 0.前言一、各种方式对比1.STM32CubeMX CLion2.STM32CubeIDE VSCode STM32 VSCode Extension3.VSCode EIDE插件 二、STM32CubeIDE VSCode STM32 VSCode Extension环境搭建1.需要安装的软件2.相关配置3.编译测试 三、总结 0.前言 工欲善其事&…

【Proteus仿真】【STM32单片机】水质监测报警系统设计

文章目录 一、功能简介二、软件设计三、实验现象联系作者 一、功能简介 本项目使用Proteus8仿真STM32单片机控制器&#xff0c;使用按键、LED、蜂鸣器、LCD1602、PCF8591 ADC、PH传感器、浑浊度传感器、DS18B20温度传感器、继电器模块等。 主要功能&#xff1a; 系统运行后&a…

Cube MX 开发过程配置中PWM无法输出问题

提示&#xff1a;文章写完后&#xff0c;目录可以自动生成&#xff0c;如何生成可参考右边的帮助文档 文章目录 前言一、pandas是什么&#xff1f;二、使用步骤1.引入库2.读入数据 总结 前言 提示&#xff1a;这里可以添加本文要记录的大概内容&#xff1a; 例如&#xff1a;…

STM32与RTOS的整合:实时操作系统在嵌入式开发中的应用

随着各种嵌入式系统应用的日益复杂和对实时性要求的提高&#xff0c;使用实时操作系统&#xff08;RTOS&#xff09;成为嵌入式开发中的一种重要选择。STM32微控制器作为一种强大的嵌入式处理器&#xff0c;与各种RTOS相结合&#xff0c;能够提供更高效、可靠并且易于维护的系统…

STM32:GPIO功能描述和工作方式

一、STM32控制原理概要 IO端口位的基本结构 在STM32有特定功能的内存单元&#xff0c;即"寄存器"。寄存器是程序与硬件电路通信的桥梁。寄存器按照每32位二进制0/1数据为一组。存储着芯片特定电路的相关信息。我们就是通过程序对寄存器中的数据进行修改&#xff0c;…

【RTOS学习】信号量 | 互斥量 | 递归锁

&#x1f431;作者&#xff1a;一只大喵咪1201 &#x1f431;专栏&#xff1a;《RTOS学习》 &#x1f525;格言&#xff1a;你只管努力&#xff0c;剩下的交给时间&#xff01; 信号量 | 互斥量 | 递归锁 &#x1f37a;信号量&#x1f964;原理&#x1f964;使用信号量的函数&…

STM32F4X之GPIO

一、GPIO概述 主控芯片信息如下&#xff1a; 主频&#xff1a;168MHZ内核&#xff1a;ARM-M4FLASH:1MSRAM:192KB引脚&#xff1a;100GPIO:82电压&#xff1a;1.8~3.6V 1.1GPIO概念及其作用 GPIO概念&#xff1a;通用输入输出(General Purpose Input Output)&#xff0c;主要作用…

STM32F4_USB读卡器(USB_Slave)/USB U盘(Host)

前言 STM32F4芯片自带了USB OTG FS&#xff08;FS&#xff0c;即全速&#xff0c;12Mbps&#xff09;和USB OTG HS&#xff0c;支持USB Host和USB Device。 1. USB简介 USB&#xff0c;是英文Universal Serial BUS&#xff08;通用串行总线&#xff09;的缩写&#xff0c;是一…

LL库-使用SPI

LL库-使用SPI Fang XS.1452512966qq.com如果有错误&#xff0c;希望被指出 前言 使用STM32CubeMX生成的代码&#xff0c;通常不能直接使用。 SPI 以SPI2为例。在STM32CubeMX自动生成代码之后&#xff1a;在MX_SPI2_Init最后添加&#xff1a; /* USER CODE BEGIN SPI2_Init…

最详细STM32,cubeMX 超声波测距

这篇文章将详细介绍 STM32使用 cubeMX驱动超声波测距 。 文章目录 前言一、超声波模块测距原理 &#xff1a; 二、cubeMX 配置三、实验程序总结 前言 实验材料&#xff1a;STM32F103C8T6开发板&#xff0c; HC-SR04 超声波模块。所需软件&#xff1a;keil5 &#xff0c; cubeM…

STM32F4X SDIO(五) SDIO库函数使用

STM32F4X SDIO&#xff08;五&#xff09; SDIO库函数使用 STM32F4X SDIO库函数SDIO初始化函数SDIO命令发送函数SDIO获取响应命令号函数SDIO获取响应函数SDIO收发数据配置函数SDIO状态获取函数SDIO状态清除函数SDIO中断状态获取函数SDIO中断状态清除函数 本节主要讲解STM32F4X的…

stm32 定时器中断

目录 定时器分类 通用定时器框图 时钟源 内部时钟&#xff08;CK_INT&#xff09; 外部时钟模式 1&#xff08; TI1、 TI2&#xff09; 时钟信号输入引脚 滤波器 如果来自外部的时钟信号的频率过高或者混杂有高频干扰信号的话&#xff0c;我们就需要使用滤波器对信号重新…

2023年10月最新版OneNet使用介绍完整版(以智能鱼缸项目开发为例)

这篇文章以智能鱼缸 项目演示2023年最新版OneNet平台的使用 (最新: 2023年10月19日) 。从产品创建,设备创建,数据流创建(数据模型创建),可视化界面的设计,数据流关联讲解新版OneNet平台的使用。 前面也有一篇博文介绍了2023年4月更新之后的onenet平台使用,只不过没有…

NodeMCU ESP8266 的PWM波形输出教程(图文并茂)

NodeMCU ESP8266 基于 Arduino 的 PWM波形输出 文章目录 NodeMCU ESP8266 基于 Arduino 的 PWM波形输出什么是PWM?常用接口pinModeanalogWrite 示例总结 什么是PWM? PWM是脉冲宽度调制&#xff08;Pulse Width Modulation&#xff09;的缩写&#xff0c;是一种用于控制电子设…

STM32F40EZT6 PWM可控制电压原理

PWM可控制电压原理 主要通过PWM 输入模式根据控制单位时间内输出的平均电压&#xff0c;以调节电压大小。而PWM输出模式通过调节占空比&#xff0c;控制平均电压大小&#xff1b; 设置TIM为PWM输出模式 第一步&#xff1a;时钟使能&#xff1a; GPIO&#xff0c;TIM; 第二步&a…

stm32整理(三)ADC

1 ADC简介 1.1 ADC 简介 12 位 ADC 是逐次趋近型模数转换器。它具有多达 19 个复用通道&#xff0c;可测量来自 16 个外部 源、两个内部源和 VBAT 通道的信号。这些通道的 A/D 转换可在单次、连续、扫描或不连续 采样模式下进行。ADC 的结果存储在一个左对齐或右对齐的 16 位…

STM32:I²C通信原理概要

一、IIC通信原理 IIC通信和串口通信有一定的相似之处&#xff0c;都有一根共地线和两根数据线。但是传递外部信息&#xff0c;串口有两根数据线可以进行双向通信&#xff0c;也就是全双工通信。而在IIC通信下&#xff0c;其中一条数据线是用于提供同步时钟脉冲的时钟线(SCL)&am…

STM32F4x之中断一

一、中断简介 中断概念&#xff1a;程序在运行过程中发生了外部或内部事件时&#xff0c;导致中断了正在执行的程序&#xff0c;让CPU转到外部或内部事件中去执行。 中断的作用&#xff1a;大量节约CPU资源&#xff0c;提高程序的效率&#xff0c;即避免重要事件被错过。 中断…

STM32 裸机编程 03

MCU 启动和向量表 当 STM32F429 MCU 启动时&#xff0c;它会从 flash 存储区最前面的位置读取一个叫作“向量表”的东西。“向量表”的概念所有 ARM MCU 都通用&#xff0c;它是一个包含 32 位中断处理程序地址的数组。对于所有 ARM MCU&#xff0c;向量表前 16 个地址由 ARM …

【STM32】标准库与HAL库对照学习系列教程大全

【STM32】标准库与HAL库对照学习系列教程大全 一、前言二、准备工作三、基础篇四、进阶篇五、特别篇六、外设篇 一、前言 前言&#xff1a;开始工作后&#xff0c;学习的时间变少了很多&#xff0c;但是今年的1024节&#xff0c;还是打算送个福利给大家&#xff0c;将之前的STM…

STM32F4_中英文显示

目录 1. 液晶显示逻辑 2. 汉字显示原理 3. 实验程序 3.1 main.c 3.2 text.c 3.3 text.h 3.4 fontupd.c 3.5 fontupd.h 1. 液晶显示逻辑 字符编码&#xff1a; 由于计算机只能识别 0 和 1&#xff0c;文字也只能以 0 和 1 的形式在计算机里存储&#xff0c;所以我们需要…

stm32外部时钟为12MHZ,修改代码适配

代码默认是8MHZ的&#xff0c;修改2个地方&#xff1a; 第一个地方是这个文件的这里&#xff1a; 第二个地方是找到这个函数&#xff1a; 修改第二个地方的这里&#xff1a;

STM32F103标准库 Keil 工程模板创建

STM32F103C8T6 标准库工程模板创建 下载库 可以根据自己 MCU 的型号去 STM32 官网下载相应的库&#xff0c;网址&#xff1a;STM32 官网标准库下载地址 STM32F103 库的下载地址如下&#xff1a;STM32F10x标准外设库 我使用最新版本 3.6.0&#xff0c;下载下来解压后是&#x…

STM32定时器介绍

STM32F103系列单片机定时器主要有&#xff1a;系统定时器SysTick&#xff0c;2个高级定时器TIM1和TIM8&#xff0c;4个通用定时器TIM2/3/4/5&#xff0c;2个基本定时器TIM6和TIM7。下面先简单介绍一下&#xff1a; 基本定时器&#xff1a;基本定时器只能计时&#xff0c;不能产…

【无标题】关于市面上的几款FOC驱动芯片讲解

驱动方案分为硬件模式和软件模式&#xff08;单片机&#xff09;&#xff0c;控制方式设计方波、弦波、FOC等。 无刷直流 (BLDC) 电机驱动器 | TI.com.cn 1.门极驱动或者集成了MOS管的芯片&#xff0c;需要外置MCU 上图集合了MOS管&#xff0c;一般用在一百瓦以下的场合。 引脚…

基于STM32自动售货机控制系统设计

**单片机设计介绍&#xff0c;1646基于STM32自动售货机控制系统设计 文章目录 一 概要二、功能设计设计思路plc图 三、 软件设计原理图 五、 程序程序文档 六、 文章目录 一 概要 基于STM32自动售货机控制系统设计-程序-原理图-PCB&#xff0c;实物制作电路方案&#xff0c;包含…

AD教程 (九)导线及NetLabel的添加

AD教程 &#xff08;九&#xff09;导线及NetLabel的添加 添加导线 绘制导线 点击放置,选择线&#xff0c;或者直接CtrlW快速绘制注意要与绘图工具中的线区别开来&#xff0c;导线是具有电气属性的&#xff0c;绘图工具中的线没有电气属性&#xff0c;只是辅助线绘制导线过程…

h750错误记录 卡死 ,18b20数据读取失败 解决办法

1.程序运行没反应 &#xff0c;debug发现卡死到 b. **> 解决办法&#xff0c;中断函数缺失&#xff0c;添加即可 2.stm32h750对18b20 读取数据失败 由于hal库没有 微秒延时&#xff0c;故采用nop&#xff08; &#xff09;函数来进行延时&#xff0c;死活读不到温度数据&…

嵌入式中的MCU、ARM、DSP、FPGA

目录 “角色扮演” MCU ARM 特点 DSP 特点 FPGA 特点 应用 “角色扮演” MCU&#xff08;Microcontroller Unit&#xff09;、ARM&#xff08;Advanced RISC Machine&#xff09;、DSP&#xff08;Digital Signal Processor&#xff09;和FPGA&#xff08;Field-Progr…

STM32G030F6P6点灯闪烁

前言 &#xff08;1&#xff09;如果有嵌入式企业需要招聘湖南区域日常实习生&#xff0c;任何区域的暑假Linux驱动实习岗位&#xff0c;可C站直接私聊&#xff0c;或者邮件&#xff1a;zhangyixu02gmail.com&#xff0c;此消息至2025年1月1日前均有效 &#xff08;2&#xff0…

浅谈IIC总线通信协议

IIC IIC&#xff1a;集成电路总线(Inter-Integrated Circuit) 快速&#xff1a;400kbit/s 高速&#xff1a;3.4Mbit/s 速度由 SCL 决定&#xff0c;上升沿斜率受上拉电阻和等效电容影响。 物理层 两线式串行总线&#xff0c;可发送和接收数据。 数据线&#xff1a;SDA 时钟线…

FreeRTOS_空闲任务

目录 1. 空闲任务详解 1.1 空闲任务简介 1.2 空闲任务的创建 1.3 空闲任务函数 2. 空闲任务钩子函数详解 2.1 钩子函数 2.2 空闲任务钩子函数 3. 空闲任务钩子函数实验 3.1 main.c 空闲任务是 FreeRTOS 必不可少的一个任务&#xff0c;其他 RTOS 类系统也有空闲任务&a…

FreeRTOS 互斥量 优先级反转(翻转)和优先级继承 详解

目录 什么是互斥量&#xff1f; 什么是优先级反转&#xff08;翻转&#xff09;和优先级继承 互斥量相关 API 函数 优先级反转&#xff08;翻转&#xff09;示例 使用互斥量优化优先级反转&#xff08;翻转&#xff09;问题示例 什么是互斥量&#xff1f; 在多数情况下&a…

STM32 invalid UTF-8 in comment 警告解决办法

这里写自定义目录标题 STM32 invalid UTF-8 in comment 警告解决办法问题描述解决办法 STM32 invalid UTF-8 in comment 警告解决办法 问题描述 …/…/libraries/CMSIS/CM3/DeviceSupport/ST/STM32F10x\stm32f10x.h(18): warning: invalid UTF-8 in comment [-Winvalid-utf8]…

基于STM32温湿度传感器采集报警系统设计

**单片机设计介绍&#xff0c;1648【毕设课设】基于STM32温湿度传感器采集报警系统设计 文章目录 一 概要二、功能设计设计思路 三、 软件设计原理图 五、 程序程序 六、 文章目录 一 概要 这次的设计主要是通过读取DHT11和HCSR04的数值&#xff0c;&#xff08;Proteus的传感器…

基于Qt串口Serial Port配置纯代码实现(桌面和嵌入式平台)

## Serial Port Qt 提供了串口类,可以直接对串口访问。我们可以直接使用 Qt 的串口类编程即可,十分方便。Qt 串口类不仅在 Windows 能用,还能在 Linux 下用,虽然串口编程不是什么新鲜事儿,既然 Qt 提供了这方面的接口,我们就充分利用起来,这将会使我们的开发十分方便!…

『C语言进阶』程序环境和预处理

&#x1f525;博客主页&#xff1a; 小羊失眠啦. &#x1f516;系列专栏&#xff1a; C语言、Linux、 Cpolar ❤️感谢大家点赞&#x1f44d;收藏⭐评论✍️ 文章目录 一、程序的翻译环境和执行环境二、详解编译链接2.1 编译环境2.2 编译过程2.3 运行环境 三、预处理详解3.1 预…

如何将bootlooder和APP合成一个文件

转至微信公众号《嵌入式学习规划》 1、前言 嵌入式固件一般分为BootLoader和App&#xff0c;BootLoader用于启动校验、App升级、App版本回滚等功能&#xff0c;BootLoader在cpu上电第一阶段中运行&#xff0c;之后跳转至App地址执行应用程序。 因此&#xff0c;在发布固件的时…

基于stm32的ADC读取烟雾报警器的数值

本文想要设计一个设计一个有stm32控制的烟雾报警系统。通过MQ-2烟雾报警器将获取模拟的数值传递给stm32的ADC外设并在串口助手上显示对应的电压值。烟雾报警器浓度越高&#xff0c;他的电压就越高&#xff0c;但是不会超过3.3V。设置一个电压临界值&#xff0c;当传输回来的电压…

STM32———USART串口控制LED灯亮灭

1.硬件设计流程 2.程序设计流程 1.串口初始化时钟使能&#xff1a;RCC_APBxPeriphClockCmd(); GPIO初始化时钟使能&#xff1a;RCC_AHBxPeriphClockCmd();2.GPIO端口模式配置&#xff1a;GPIO_Init();3.串口参数初始化&#xff1a;USART_Init();4.串口使能&#xff1a;USART_C…

【RTOS学习】CubeMX对FreeRTOS的适配

&#x1f431;作者&#xff1a;一只大喵咪1201 &#x1f431;专栏&#xff1a;《RTOS学习》 &#x1f525;格言&#xff1a;你只管努力&#xff0c;剩下的交给时间&#xff01; 经过前面的学习&#xff0c;现在我已经对FreeRTOS有了一个初步的认识&#xff0c;而且也可以使用F…

正点原子嵌入式linux驱动开发——Linux CAN驱动

CAN是目前应用非常广泛的现场总线之一&#xff0c;主要应用于汽车电子和工业领域&#xff0c;尤其是汽车领域&#xff0c;汽车上大量的传感器与模块都是通过CAN总线连接起来的。CAN总线目前是自动化领域发展的热点技术之一&#xff0c;由于其高可靠性&#xff0c;CAN总线目前广…

FreeRTOS_事件标志组

目录 1. 事件标志组简介 2. 创建事件标志组 2.1 函数 xEventGroupCreate() 2.2 函数 xEventGroupCreateStatic() 3. 设置事件位 3.1 函数 xEventGroupClearBits() 3.2 函数 xEventGroupClearBitsFromISR() 3.3 函数 xEventGroupSetBits() 3.4 函数 xEventGroupSetB…

基于STM32HAL库看门狗(独立看门狗)-简述

目录 概述 一、开发环境 二、STM32CubeMx配置 三、编码 四、运行结果 五、总结 概述 一个成熟靠谱的项目&#xff0c;离不开“看门狗”的必选项&#xff0c;凡是人写的程序多少都会有出现bug的情况&#xff08;或芯片外设受外界干扰导致故障程序卡死、跑飞的情况&#xf…

嵌入式中如何将BootLoader与APP合并成一个固件

1、前言 嵌入式固件一般分为BootLoader和App&#xff0c;BootLoader用于启动校验、App升级、App版本回滚等功能&#xff0c;BootLoader在cpu上电第一阶段中运行&#xff0c;之后跳转至App地址执行应用程序。 因此&#xff0c;在发布固件的时候&#xff0c;会存在BootLoader固件…

STM32HAL-完全解耦面向对象思维的架构-时间轮片法使用(timeslice)

目录 概述 一、开发环境 二、STM32CubeMx配置 三、编码 四、运行结果 五、代码解释 六、总结 概述 timeslice是一个时间片轮询框架&#xff0c;完全解耦的时间片轮询框架&#xff0c;非常适合裸机单片机引用。接下来将该框架移植到stm32单片机运行&#xff0c;单片机…

嵌入式每日500(3)231103 (总线结构,存储器映射,启动配置,FLASH读、写、擦除介绍,CRC校验,选项字节,)

这里写目录标题 1.总线结构2.STM32F072VBT6存储器映射3.启动配置&#xff08;BOOT0&#xff0c;BOOT1&#xff09;4.FLASH存储器&#xff08;读、写、擦除&#xff09;5.CRC计算单元6.选项字节 1.总线结构 主模块&#xff08;2个&#xff09;Cortex-M0内核、DMA通道从模块&…

FreeRTOS_信号量之优先级翻转

目录 1. 优先级翻转 2. 优先级翻转实验 2.1 实验目的 2.2 实验设计 2.3 实验程序 2.4 现象 1. 优先级翻转 在使用二值信号量的时候会遇到一个很常见的问题——优先级翻转。优先级翻转在可剥夺内核中是非常常见的&#xff0c;在实时系统中不允许出现这种现象&#xff0c;这…

STM32F103启动文件分析

STM32F103的启动文件在某种程度上决定了系统的配置的准确性和可运行特性,因此对启动文件的分析非常重要。参数的设置,既要有规则控制又要有经验设置。需要开发人员熟悉每个参数的重要性,否则系统运行资源配置不均衡,发挥不出性能是小事,影响了稳定性就是大事。 Stack_Siz…

STM32F4X SDIO(六) 例程讲解-SD_PowerON

STM32F4X SDIO&#xff08;六&#xff09; 例程讲解-SD_PowerON 例程讲解-SD_PowerONSDIO引脚初始化和时钟初始化SDIO初始化(单线模式)CMD0:GO_IDLE_STATE命令发送程序命令响应程序 CMD8:SEND_IF_CONDCMD8参数命令发送程序命令响应程序 CMD55:APP_CMDCMD55命令参数命令发送命令…

存储器(详解)

概念 存储器&#xff08;Memory&#xff09;是计算机系统中用于存储和检索数据的硬件设备或组件。它在计算机中扮演着重要的角色&#xff0c;允许计算机暂时或永久地存储程序、数据和中间结果。 存储器是许多存储单元的集合&#xff0c;按单元号顺序排列。每个单元由若干二进制…

FMC+DAM驱动LVGL刷屏

前提条件 使用FMC驱动LCD刷屏 LVGL移植 开启DMA 需要开启MEMTOMEMDMA。 开启MPU 有MPU时需要 使能I-cache D-cache时 使用DMA传输数据时要保证数据的完整行和准确性 修改代码 逻辑 等待DMA传输完成然后再刷屏。 修改 在DMA初始化函数中最后添加 注册DMA传输完成调用函…

【STM32单片机】贪吃蛇游戏设计

文章目录 一、功能简介二、软件设计三、实验现象联系作者 一、功能简介 本项目使用STM32F103C8T6单片机控制器&#xff0c;使用8*8LED点阵模块、矩阵按键、蜂鸣器模块等。 主要功能&#xff1a; 系统运行后&#xff0c;贪吃蛇游戏开始运行&#xff0c;默认蛇身为2节&#xff…

AD教程 (十二)原理图的编译设置和检查

AD教程 &#xff08;十二&#xff09;原理图的编译设置和检查 通过肉眼初步排查&#xff0c;观察一下原理图上有什么错误 工程编译排查错误 选中工程&#xff0c;右键&#xff0c;选择Compile PCB Project对工程进行编译&#xff0c;根据编译报错&#xff0c;定位错误&#…

FreeRTOS-任务管理

目录 任务管理 创建任务 创建任务示例1&#xff1a;创建两个同等级的任务 创建任务示例2&#xff1a;使用任务参数 删除任务 删除任务示例&#xff1a;删除任务 挂起任务 任务优先级 优先级实验&#xff1a;修改优先级 Tick 延时函数 延时示例 空闲任务 钩子函数…

超详细!新手必看!STM32--EXTI外部中断

一、什么是外部中断&#xff1f; 外部中断是指由微处理器或微控制器外部引脚&#xff08;通常是GPIO引脚&#xff09;上的外部事件触发的中断。这些外部事件可以是来自外部设备、传感器或其他外部信号源的触发。 二、外部中断详细内容 由AFIO时钟管理的寄存器有AFIO_EVCR(时…

了解STM32看门狗定时器的工作原理和原则

STM32 系列微控制器的看门狗定时器 (Watchdog Timer&#xff0c;WWDG) 是一种重要的硬件资源&#xff0c;用于检测系统的异常状态&#xff0c;并在发生异常时执行特定的操作&#xff0c;以确保系统能够正常运行。在本文中&#xff0c;我将详细介绍 STM32 看门狗定时器的工作原理…

STM32 I2C详解

STM32 I2C详解 I2C简介 I2C&#xff08;Inter IC Bus&#xff09;是由Philips公司开发的一种通用数据总线 两根通信线&#xff1a; SCL&#xff08;Serial Clock&#xff09;串行时钟线&#xff0c;使用同步的时序&#xff0c;降低对硬件的依赖&#xff0c;同时同步的时序稳定…

基于单片机的电源切换控制器设计(论文+源码)

1.系统设计 在基于单片机的电源切换控制器设计中&#xff0c;系统功能设计如下&#xff1a; &#xff08;1&#xff09;实现电源的电压检测&#xff1b; &#xff08;2&#xff09;如果电压太高&#xff0c;通过蜂鸣器进行报警提示&#xff0c;继电器进行切换&#xff0c;使…

2023最新版本 FreeRTOS教程 -8-信号量(二值)

二值信号量与信号量类似 但值只有0和1 创建二值信号量函数 BinarySemaphore_Timedis xSemaphoreCreateBinary();获取二值信号量函数 xSemaphoreTake(BinarySemaphore_Timedis, portMAX_DELAY);发送二值信号量函数 xSemaphoreGive(BinarySemaphore_Timeset);删除二值信号量…

STM32F407-14.3.5-01捕获_比较通道

捕获/比较通道 每一个捕获/比较通道都是围绕着一个捕获/比较寄存器(包含影子寄存器) 包括: 捕获的输入部分(数字滤波、多路复用和预分频器)&#xff0c; 输出部分(比较器和输出控制)。 中文参考手册中框图分成了三大模块, 把框图合并成了一个整体,以便更好的理解捕获输…

RT-Thread STM32F407 DMA

这里以串口的DMA方式接收为例&#xff0c;串口1进行调试&#xff0c;串口2进行DMA接收 第一步&#xff0c;进入RT-Thread Settings配置DMA 第二步&#xff0c;进入board.h&#xff0c;定义串口及DMA宏 第三步&#xff0c;回到main.c&#xff0c;配置串口及DMA模式 第四步…

2023最新版本 FreeRTOS教程 -9-互斥量(基本使用和解决优先级反转)

互斥量是一种特殊的二进制信号量 使用场景1 &#xff08;互斥访问&#xff09; 外设的独立访问 如打印 协议操作 使用场景2 解决优先级反转 外设的独立访问 如打印 协议操作 使用场景2 解决优先级反转 我们以较为复杂的场景2来分析 -1- 创建三个任务 优先级从低到高&…

2023最新版本 FreeRTOS教程 -9-互斥量(基本使用和解决优先级反转)

互斥量是一种特殊的二进制信号量 使用场景1 &#xff08;互斥访问&#xff09; 外设的独立访问 如打印 协议操作 使用场景2 解决优先级反转 外设的独立访问 如打印 协议操作 使用场景2 解决优先级反转 我们以较为复杂的场景2来分析 -1- 创建三个任务 优先级从低到高&…

STM32速成笔记—SPI通信

&#x1f380; 文章作者&#xff1a;二土电子 &#x1f338; 关注公众号获取更多资料&#xff01; &#x1f438; 期待大家一起学习交流&#xff01; 文章目录 一、SPI简介二、SPI的四种工作方式三、STM32的SPI通信3.1 SPI内部结构分析3.2 SPI引脚 四、SPI通信程序设计4.1 S…

【STM32 开发】| INA219采集电压、电流值

目录 前言1 原理图2 IIC地址说明3 寄存器地址说明4 开始工作前配置5 程序代码1&#xff09;驱动程序2&#xff09;头文件3) 测试代码 前言 INA219 是一款具备 I2C 或 SMBUS 兼容接口的分流器和功率监测计。该器件监测分流器电压降和总线电源电压&#xff0c;转换次数和滤波选项…

RT-Thread STM32F407 ADC

ADC(Analog-to-Digital Converter) 指模数转换器。是指将连续变化的模拟信号转换为离散的数字信号的器件。真实世界的模拟信号&#xff0c;例如温度、压力、声音或者图像等&#xff0c;需要转换成更容易储存、处理和发射的数字形式。模数转换器可以实现这个功能&#xff0c;在各…

【智能家居项目】FreeRTOS版本——将裸机程序改造成FreeRTOS程序 | DHT11温湿度传感器

&#x1f431;作者&#xff1a;一只大喵咪1201 &#x1f431;专栏&#xff1a;《智能家居项目》 &#x1f525;格言&#xff1a;你只管努力&#xff0c;剩下的交给时间&#xff01; 如上图所示是裸机版本的智能家居项目总体框架结构&#xff0c;这篇文章开始&#xff0c;本喵要…

新手必看!!STM32定时器简介

一、定时器有哪些&#xff1f; 定时器分为三大类&#xff1a;基本定时器、通用定时器和高级定时器。 二、每个定时器的功能以及使用场景 1. 基本定时器&#xff08;Basic Timers&#xff09;&#xff1a; 功能&#xff1a; 基本定时器具有较为简单的功能&#xff0c;通常用于…

stm32 HSUSB

/ stm32f407xx.h #define USB_OTG_HS_PERIPH_BASE 0x40040000UL #define USB_OTG_HS ((USB_OTG_GlobalTypeDef *) USB_OTG_HS_PERIPH_BASE) // // 定义全局变量 USBD_HandleTypeDef hUsbDeviceHS;并默认全零初始化/* USB Device handle structure */ typedef struct _USB…

Cube MX 开发高精度电流源跳坑过程/SPI连接ADS1255/1256系列问题总结/STM32 硬件SPI开发过程

文章目录 概要整体架构流程技术名词解释技术细节小结 概要 1.使用STM32F系列开发一款高精度恒流电源&#xff0c;用到了24位高精度采样芯片ADS1255/ADS1256系列。 2.使用时发现很多的坑&#xff0c;详细介绍了每个坑的具体情况和实际的解决办法。 坑1&#xff1a;波特率设置…

基于STM32HAL库(独立看门狗)-简述

目录 概述 一、开发环境 二、STM32CubeMx配置 三、编码 四、运行结果 五、总结 概述 一个成熟靠谱的项目&#xff0c;离不开“看门狗”的必选项&#xff0c;凡是人写的程序多少都会有出现bug的情况&#xff08;或芯片外设受外界干扰导致故障程序卡死、跑飞的情况&#xf…

正点原子嵌入式linux驱动开发——Linux 网络设备驱动

网络驱动是linux里面驱动三巨头之一&#xff0c;linux下的网络功能非常强大&#xff0c;嵌入式linux中也常常用到网络功能。前面已经讲过了字符设备驱动和块设备驱动&#xff0c;本章就来学习一下linux里面的网络设备驱动。 嵌入式网络简介 嵌入式下的网络硬件接口 本次笔记…

STM32 IAP应用开发--bootloader升级程序

STM32 IAP应用开发--bootloader升级程序 Chapter1 STM32 IAP应用开发——通过串口/RS485实现固件升级&#xff08;方式2&#xff09;前言什么是IAP&#xff1f;什么是BootLoader&#xff1f; 方案介绍&#xff1a;1&#xff09;bootloader部分&#xff1a;2&#xff09;APP部分…

键盘控制ROS车运动

键盘控制ROS车运动 上位机 使用pyseria库与stm32单片机进行通信控制 #!/usr/bin/env python # -*- coding: utf-8 -*import sys, select, termios, tty import serialmsg """ ---------------------------w a x ds w : x a : y s : -x …

串口数据包收发的思路和流程-stm32入门

本节主要内容&#xff1a; 如何去规定一个合理的数据包格式如何收发数据包 1. 数据包格式规定/定义 1.1 HEX 数据包定义 固定包长&#xff0c;含包头包尾 可变包长&#xff0c;含包头包尾 首先数据包的作用是把一个个单独的数据给打包起来&#xff0c;方便我们进行多字节…

STM32Cube 开发之读写内部Flash--电源项目ADC采样校准系数存储-实现掉电读取数据--STM32或者GD32F处理器

STM32Cube 开发之读写内部Flash–电源项目ADC采样校准系数存储-实现掉电读取数据 一、需求介绍 1.1 在进行电源项目开发中&#xff0c;输入与输出的电压电流经过硬件电路分压或者差分变换后&#xff0c;将低压的电压信号给到单片机如STM32F1系列单片机的ADC采样端口&#xff…

ROS stm32 CAN通信

文章目录 运行环境&#xff1a;原理1.1 ros中的代码1)socketcan_bridge2)测试的ros-python包3)USB-CAN连接4)启动指令 运行环境&#xff1a; ubuntu18.04.melodic STM32&#xff1a;DJI Robomaster C板 ROS&#xff1a;18.04 硬件&#xff1a;USB-CAN&#xff08;选支持Linux驱…

STM32 F1 串口空闲中断 + DMA实现数据发送

DMA实现数据发送 文章目录 DMA实现数据发送前言一、DMA二、代码编写1.DMA2.USART3.main 前言 当你遇到通信数据量大的时候&#xff0c;可以使用 空闲中断 DMA 的方案来减轻 CPU 的压力。 或者 在进行stm32开发时&#xff0c;有时会遇到这种情况&#xff1a;需要在设备间进行数…

超详细!必看!!STM32--系统滴答SysTick

一、SysTick是什么&#xff1f; Systick定时器是一个24bit的倒计时&#xff08;向下计数&#xff09;定时器&#xff0c;功能就是实现简单的延时。 SysTick 是一种系统定时器&#xff0c;通常在嵌入式系统中使用。它是 ARM Cortex-M 处理器的一个特殊定时器&#xff0c;用于提…

94.STM32外部中断

目录 1.什么是 NVIC&#xff1f; 2.NVIC寄存器 3.中断优先级 4.NVIC的配置 设置中断分组​编辑 配置某一个中断的优先级 5.什么是EXTI 6.EXTI和NVIC之间的关系 7.SYSCFG 的介绍 1.什么是 NVIC&#xff1f; NVIC是一种中断控制器&#xff0c;主要用于处理 ARM Cort…

STM32与ZigBee技术在智能家居无线通信中的应用研究

一、引言 智能家居系统是利用物联网技术将家庭各种设备进行互联互通&#xff0c;实现智能化控制和管理的系统。在智能家居系统中&#xff0c;无线通信技术起着至关重要的作用&#xff0c;而STM32微控制器和ZigBee技术则是实现智能家居无线通信的关键技术。本文将对STM32与ZigB…

STM32中断看这一篇就够了

&#x1f64c;秋名山码民的主页 &#x1f602;oi退役选手&#xff0c;Java、大数据、单片机、IoT均有所涉猎&#xff0c;热爱技术&#xff0c;技术无罪 &#x1f389;欢迎关注&#x1f50e;点赞&#x1f44d;收藏⭐️留言&#x1f4dd; 获取源码&#xff0c;添加WX 目录 1. 前言…

c语言贪吃蛇游戏

以下是一个基于 C 语言的简单贪吃蛇游戏的示例代码&#xff1a; #include <stdio.h> #include <conio.h> #include <windows.h>#define WIDTH 20 #define HEIGHT 20int gameOver; int x, y, fruitX, fruitY, score; int tailX[100], tailY[100]; int nTail;…

基于FPGA的五子棋(论文+源码)

1.系统设计 在本次设计中&#xff0c;整个系统硬件框图如下图所示&#xff0c;以ALTERA的FPGA作为硬件载体&#xff0c;VGA接口&#xff0c;PS/2鼠标来完成设计&#xff0c;整个系统可以完成人人对战&#xff0c;人机对战的功能。系统通过软件编程来实现上述功能。将在硬件设计…

【STM32】W25Q64 SPI(串行外设接口)

一、SPI通信 0.IIC与SPI的优缺点 https://blog.csdn.net/weixin_44575952/article/details/124182011 1.SPI介绍 同步&#xff08;有时钟线&#xff09;&#xff0c;高速&#xff0c;全双工&#xff08;数据发送和数据接收各占一条线&#xff09; 1&#xff09;SCK:时钟线--&…

STM32——STM32Cubemx的学习使用总结

文章目录 一、简介二、STM32Cube IDE与MX区别&#xff1f;三、界面介绍和使用四、使用整体框架 一、简介 STM32CubeMX是一个图形化工具&#xff0c;可以非常容易地配置STM32微控制器和微处理器&#xff0c;以及为ArmCortex-M 内核或部分 Linux 设备树生成相应的初始化C代码&…

四、三种基本程序结构

1、程序结构 (1)在C语言程序中&#xff0c;一共有三种程序结构&#xff1a;顺序结构、选择结构(分支结构)、循环结构。 顺序结构&#xff1a;按照事务本身特性&#xff0c;必须一个接着一个来完成。选择结构&#xff1a;到某个节点后&#xff0c;会根据一次判断结果来决定之后…

EC11编码器编码使用

文章目录 前要原理脉冲与定位功能硬件设计 编程轮询模式定时器Encoder模式 结束语 前要 关于EC11编码器的了解可以参考两篇文章&#xff0c;比较详细&#xff0c;在此就不多介绍了&#xff1a; 一篇文章带你了解——EC11编码器&#xff08;关于硬件、原理图、上下拉等都有讲&…

裸机与RTOS(概念、关系、区别)

目录 裸机 什么是裸机&#xff1f; 裸机开发的特点 STM32裸机开发 RTOS 什么是RTOS&#xff1f; RTOS技术的概念及特点 STM32中的RTOS 裸机开发与RTOS开发对比分析 裸机开发 RTOS开发 如何选择&#xff1f; 裸机 什么是裸机&#xff1f; 在嵌入式领域&#xff0c;…

STM32笔记-AD模数转换

目录 一、ADC介绍 二、ADC主要特征 三、ADC框图 1. ​​​​ 外部触发转换 ​ 2. 转换模式 3. 输入通道 4. 逻辑框图 四、校准 五、数据对齐 六、AD转换步骤 七、AD_Init(单通道AD转换)初始化函数配置 一、ADC介绍 1. 12位ADC是一种逐次逼近型模拟数字转换器。它有多达…

FMC驱动LCD

硬件简介 主控&#xff1a;STM32H750 LCD屏幕为16位并口屏幕 CubeMX配置 chip select: 选择起始地址块号&#xff0c;ADDR[27:26] Memory type: 内存类型&#xff0c;选择LCD Interface LCD Register Select: 根据选择计算映射地址, FSNC_A[25] Data: 数据宽度 NOR/PSRAM ti…

STM32-ADC实验

目录 实验1&#xff1a;单ADC单通道中断 硬件原理图 USART配置 ADC1配置 初始化结构体的参数 ScanConvMode&#xff1a;扫描转换模式 ContinuousConvMode&#xff1a;连续转换模式 ExternalTrigConv&#xff1a;外部触发方式 测试环节 实验现象 实验2&#xff1a;单…

FreeRTOS_信号量之二值信号量

目录 1. 信号量简介 2. 二值信号量 2.1 二值信号量简介 2.1.1 二值信号量无效 2.1.2 中断释放信号量 2.1.3 任务获取信号量成功 2.1.4 任务再次进入阻塞态 2.2 创建二值信号量 2.2.1 vSemaphoreCreateBinary() 2.2.2 xSemaphoreCreateBinary() 2.2.3 xSemaphoreCrea…

【FreeRTOS】【STM32】08 FreeRTOS 消息队列

简单来说 消息队列是一种数据结构 任务操作队列的基本描述 1.如果队列未满或者允许覆盖入队,FreeRTOS会将任务需要发送的消息添加到队列尾。 2.如果队列满,任务会阻塞(等待)。 3.用户可以指定等待时间。 4.当其它任务从其等待的队列中读取入了数据&#xff08;这时候队列未满…

STM32+fafts+Easylogger输入日志功能。(准备写)

Easylooger本身是带日志输出到文件功能的&#xff0c;但是Easylogger本身源码用的不是Fafts的接口函数&#xff0c;所以需要移植。 要让Easylogger能够输出日志到sd卡总共分三补。 第一步&#xff0c;移植STM32SD卡驱动。 第二步&#xff0c;移植stm32FAFTS文件系统。 第三…

STM32-HAL库08-TIM的输出比较模式(输出PWM的另一种方式)

STM32-HAL库08-TIM的输出比较模式&#xff08;输出PWM的另一种方式&#xff09; 一、所用材料&#xff1a; STM32F103C6T6最小系统板 STM32CUBEMX&#xff08;HAL库软件&#xff09; MDK5 示波器或者逻辑分析仪 二、所学内容&#xff1a; 通过定时器TIM的输出比较模式得到预…

STM32 LED编程 GPIO的初始化(标准库)

实验的电路图介绍 实验的电路图类似于开漏接法 要初始化GPIOC接口 标准库的模板 GPIO的标准库编程接口 GPIO引脚的初始化 GPIO作为片上外设 每一个片上外设使用前一定要使能时钟 为什么要使能时钟&#xff1f;时钟是啥 时钟的使能 stm32的每一个片上外设都是时序电路 时序…

【STM32】STM32Cube和HAL库使用初体验

1.STM32Cube和HAL库模式开发流程 1、流程介绍 (1)环境搭建&#xff1a;STM32CubeMX安装、STM32xxFW安装、MDK5安装、pack包安装【顺序很重要】 【STM32】STM32的Cube和HAL生态-CSDN博客中的3.STM32CubeMX工具入门 (2)STM32CubeMX中创建工程&#xff0c;选择芯片型号&#xff0…

FPGA与STM32_FSMC总线通信实验

FPGA与STM32_FSMC总线通信实验 内部存储器IP核的参数设置创建IP核FPGA代码STM32标准库的程序 STM32F407 上自带 FSMC 控制器&#xff0c;通过 FSMC 总线的地址复用模式实现STM32 与 FPGA 之间的通信&#xff0c;FPGA 内部建立 RAM 块&#xff0c;FPGA 桥接 STM32 和 RAM 块&…

控制实体小车cartographer建图

cartographer建图 跑通官方例程 下载官方bag https://storage.googleapis.com/cartographer-public-data/bags/backpack_2d/cartographer_paper_deutsches_museum.bag运行bag roslaunch cartographer_ros demo_backpack_2d.launch bag_filename:${HOME}/workspace/carto_ws…

STM32获取最大堆栈空间

参考 stackflow相关讨论 原理 通过参考链接&#xff0c;可知探测Stack的最大深度是先在stack中填充不常用的特定值&#xff0c;然后实时检测这些值哪些发生了变化&#xff0c;变化的表示使用到了这个空间&#xff0c;如果程序完全遍历后&#xff0c;有些值还是没变&#xff…

STM32 寄存器配置笔记——USART配置 打印

一、概述 本文主要介绍如何配置USART&#xff0c;并通过USART打印验证结果。以stm32f10为例&#xff0c;将PA9、PA10复用为USART功能&#xff0c;使用HSE PLL输出72MHZ时钟 APB2 clk不分频提供配置9600波特率。波特率计算公式如下&#xff1a; fck即为APB2 clk参考计算&#xf…

Keil新建STM32软件工程 - (详细步骤图文)

文章目录 1. 前言2. 下载芯片对应的Keil开发包3. 下载芯片对应的标准外设库 - STM32F10x_StdPeriph_Lib_Vx.x.x4. 新建工程文件夹 - Demo34.1 移植标准外设库4.2 启动文件介绍及如何选择 5. 新建软件工程 - Demo5.1 打开Keil → Project → New uVision Project5.2 选择芯片型号…

USART的标准库编程

使用USART与计算机通信 电脑上只有usb端口 没有TX 和RX需要一个USB转TTL电平模块来实现通信 芯片C8T6中只有三个UASRT 选其中一个UASRT来通信即可 那么如何定位那个USART的TX 和RX引脚呢&#xff1f; 方式1 查找最小系统板引脚分布图 查找USART1的引脚 RTS CTS是硬件流控 CK…

基于Acconeer的A121-60GHz毫米波雷达传感器SDK移植及测距示例(STM32L496为例)

基于Acconeer的A121-60GHz毫米波雷达传感器SDK移植及测距示例&#xff08;STM32L496为例&#xff09; 工程&#xff1a; Keil工程资源 参考资料&#xff1a; A121 datasheet 1.3 A121 HAL Software Integration User Guide A121 STM32CubeIDE User Guide 官方参考示例工程&a…

STM32——外部中断

文章目录 0.中断关系映射1.使能 IO 口时钟&#xff0c;初始化 IO 口为输入2.设置 IO 口模式&#xff0c;触发条件&#xff0c;开启 SYSCFG 时钟&#xff0c;设置 IO 口与中断线的映射关系。3.配置NVIC优先级管理&#xff0c;并使能中断4.编写中断服务函数。5.编写中断处理回调函…

新手必看!!附源码!!STM32通用定时器-比较输出PWM

一、什么是PWM? PWM&#xff08;脉冲宽度调制&#xff09;是一种用于控制电子设备的技术。它通过调整信号的脉冲宽度来控制电压的平均值。PWM常用于调节电机速度、控制LED亮度、产生模拟信号等应用。 二、PWM的原理 PWM的基本原理是通过以一定频率产生的脉冲信号&#xff0…

嵌入式开发从入门到入土

写在前面的话 嵌入式开发涉及的层面很广&#xff0c;它既有底层硬件的开发&#xff0c;又涉及上层应用的开发&#xff0c;也就是所谓的系统集成的硬件和软件。而C语言不仅具有汇编语言操作底层的优势&#xff0c;又具有高级开发语言的功能性强的特点&#xff0c;当之无愧地成为…

KT404A远程更换语音芯片方案支持OTA 4G 蓝牙 wifi 物联网

目录 一、简介 2.1 芯片的硬件 2.2 测试的方法 一、简介 KT404A远程更换语音芯片方案支持OTA 4G 蓝牙 wifi 物联网 在线下载的mp3语音芯片ic&#xff0c;通过KT404A的uart直接更换内部的语音文件&#xff0c;从而实现动态的更新语音内容 物联网大潮的来袭。随着智能家居、…

STM32_7(ADC)

一、ADC ADC&#xff08;Analog-Digital Converter&#xff09;模拟-数字转换器ADC可以将引脚上连续变化的模拟电压转换为内存中存储的数字变量&#xff0c;建立模拟电路到数字电路的桥梁12位逐次逼近型ADC&#xff0c;1us转换时间输入电压范围&#xff1a;0~3.3V&#xff0c;…

利用STM32CubeMX解读时钟树

1&#xff0c;低速时钟 LSE是外部晶振作时钟源&#xff0c;主要提供给实时时钟模块&#xff0c;所以一般采用32.768KHz。LSI是由内部RC振荡器产生&#xff0c;也主要提供给实时时钟模块&#xff0c;频率大约为40KHz。(LSE和LSI)只是提供给芯片中的RTC(实时时钟)及IWDG(独立看门…

WIFI模块(esp-01s)获取网络时间与天气信息

目录 一、硬件连接 二、获取网络时间 1、AT指令集 2、具体操作 三、获取天气信息 1、心知天气注册 2、AT指令集 3、具体操作 4、json格式检查 一、硬件连接 WiFi模块的RX连接TTL模块的TX&#xff0c; WiFi模块的TX连接TTL模块的RX&#xff0c;电源与地接对。 插入电脑…

基于 STM32 的温度测量与控制系统设计

本文介绍了如何基于 STM32 微控制器设计一款温度测量与控制系统。首先&#xff0c;我们将简要介绍 STM32 微控制器的特点和能力。接下来&#xff0c;我们将详细讨论温度传感器的选择与接口。然后&#xff0c;我们将介绍如何使用 STM32 提供的开发工具和相关库来进行温度测量和控…

STM32-SPI3控制MCP3201、MCP3202(Sigma-Delta-ADC芯片)

STM32-SPI3控制MCP3201、MCP3202&#xff08;Sigma-Delta-ADC芯片&#xff09; 原理图手册说明功能方框图引脚功能数字输出编码与实值的转换分辨率设置与LSB最小和最大输出代码&#xff08;注&#xff09; 正负符号寄存器位MSB数字输出编码数据转换的LSB值 将设备输出编码转换为…

野火霸天虎 STM32F407 学习笔记(六)系统时钟详解

STM32 中级 前言 仍然是学习自野火F407网课。 启动文件详解 作用&#xff1a; 初始化堆栈指针 SP_initial_sp初始化 PC 指针 Reset_Handler初始化中断向量表配置系统时钟调用 C 库函数 _main 初始化用户堆栈&#xff0c;从而最终调用 main 函数去到 C 的世界 栈&#xff…

Jlink如何开启虚拟串口功能

1、线序 2、开启虚拟串口 安装完jlink的驱动以后&#xff0c;找到Jlink commander 图标 ①首先驱动自己是否是V9版本 打开后会显示Jlink的版本等信息&#xff0c;输入指令VCOM ENABLE 便打开了虚拟串口功能&#xff0c;此功能是Jlink V9版本以后才支持的。 ②输入vcom ena…

STM32项目经验分享:常用软件

文章目录 硬件设计软件软件设计软件英文文档阅读 硬件设计软件 Altium Designer&#xff08;在公司用会被维权&#xff09; 3D模型&#xff08;3D contentcentral&#xff09; 嘉立创 先用立创EDA画原理图然后再用AD画PCB,3D模型可以用3D contentcentral下载 软件设计软件 …

基于STM32 + TIM _定时器的基本机构和工作原理详解

前言 本篇博客主要学习了解定时器的基本结构和工作原理&#xff0c;掌握定时器的驱动程序和设计。本篇博客大部分是自己收集和整理&#xff0c;如有侵权请联系我删除。 本次博客板子使用的是正点原子精英版&#xff0c;芯片是STM32F103ZET6,需要资料可以我拿取。 本博客内容原…

STM32之模数转换器ADC

目录 1、ADC介绍 1.什么是ADC&#xff1f; ADC的全称是Analog-to-Digital Converter&#xff0c;指模拟/数字转换器 2.ADC的性能指标 3.ADC特性 12位分辨率 4.ADC通道 5.ADC转换顺序 6.ADC触发方式 7.ADC转化时间 8.ADC转化模式 9.模拟看门狗 实验&#xff1a;使用ADC读…

MicroPython STM32F4 RTC功能使用介绍

MicroPython STM32F4 RTC功能使用介绍 &#x1f516;STM32和ESP32 RTC功能差不多&#xff0c;相关篇《MicroPython ESP32 RTC功能使用介绍》&#x1f4cc;固件刷可参考前面一篇《STM32刷Micropython固件参考指南》&#x1f33f; 相关篇《Micropython STM32F4入门点灯》&#x1…

RT_Thread_使用FAL组件配置stm32f407片上flash读写

1、RT_Thread Settings打开FAL组件 2、定义struct fal_flash_dev类型的flash设备 2.1、struct fal_flash_dev 先看下struct fal_flash_dev的具体成员&#xff0c;有flash设备名字、flash起始地址、flash大小、块大小&#xff08;执行擦除时的最小颗粒&#xff09;、操作函数&…

【STM32】OLED显示屏

1 调试方式 1. 串口调试&#xff1a;通过串口通信&#xff0c;将调试信息发送到电脑端&#xff0c;电脑使用串口助手显示调试信息 2. 显示屏调试&#xff1a;直接将显示屏连接到单片机&#xff0c;将调试信息打印在显示屏上 3. Keil调试模式&#xff1a;借助Keil软件的调试模…

028 - STM32学习笔记 - ADC(二) 独立模式单通道中断采集

028 - STM32学习笔记 - 结构体学习&#xff08;二&#xff09; 上节对ADC基础知识进行了学习&#xff0c;这节在了解一下ADC相关的结构体。 一、ADC初始化结构体 在标准库函数中基本上对于外设都有一个初始化结构体xx_InitTypeDef&#xff08;其中xx为外设名&#xff0c;例如…

RT-Thread ADC_DMA

看到这里&#xff0c;相信大家已经尝试过网上各类ADC_DMA传输的文章&#xff0c;且大多都并不能实现&#xff0c;因为在RT-Thread中并没有找到关于ADC的DMA接口&#xff0c;在官方例程中有关DMA的传输也只有一个串口接收的介绍&#xff0c;找遍全网怕也没能找到真正有用的消息。…

STM32-GPIO

一、GPIO简介 GPIO&#xff08;General Purpose Input Output&#xff09;通用输入输出口 可配置8种输入输出模式 引脚电平&#xff1a;0V~3.3V&#xff0c;部分引脚可容忍5V 输出模式下&#xff1a;可控制端口输出高低电平&#xff0c;用以驱动LED、控制蜂鸣器、模拟通信协议输…

设计一个在裸机下使用的简单软件定时器(3):功能测试

0 前言 在RTOS中&#xff0c;我们经常用到软件定时器来为我们处理一些对于实时性要求不高的定时任务。在裸机开发中&#xff0c;我们可能也有很多需要定时执行的任务&#xff0c;为了优雅地执行这些定时任务&#xff0c;本文设计一个在裸机下使用的简单软件定时器&#xff0c;…

基于PLC的电梯控制系统(论文+源码)

1.系统设计 电梯采用了PLC控制方式&#xff0c;通过对PLC进行逻辑程序设计&#xff0c;电梯不仅在控制水平上得到了质的提升&#xff0c;同时在安全性上也得到了大大提高。控制系统在构造上实现了简洁化&#xff0c;不仅优化了硬件接线方便了线路施工&#xff0c;同时对控制要…

基于stm32的LCD1602与无线蓝牙温湿度显示

这一篇博客是为了实现温湿度的显示&#xff0c;温湿度传感器将数据穿给单片机&#xff0c;单片机又把数据送给LCD1602和蓝牙&#xff0c;让温度和湿度可以再LCD1602显示屏和手机上显示&#xff0c;它的执行逻辑和C51那里基本一样&#xff0c;就是要修改程序&#xff0c;在程序上…

蓝桥杯物联网竞赛_STM32L071_9_按键矩阵扩展模块

原理图&#xff1a; 矩阵按键原理图&#xff1a; 实验板接口原理图&#xff1a; 得到对应图&#xff1a; 扫描按键原理&#xff1a; 按键的COLUMN1、2、3分别制0&#xff0c;每次只允许其中一个为0其他都是1&#xff08;POW1和POW2正常状况为上拉&#xff09;&#xff0c;当有…

STM32使用SIM900A、SIM800C、SIM800A完成短信发送、连接onenet上传数据、拨打电话_完整教程

一、前言 本篇文章介绍SIM800C 、SIM800A、SIM900A 等等系列的模块的常用AT指令,讲解模块的使用方法,演示短信发送、拨打电话、网络连接,与服务器通信等常用案例。 如果只是用到发送短信、拨打电话、连接网络通信、这些模块的AT指令是兼容的。 文章最后贴了完整的STM32代码…

Stm32_串口的帧(不定长)数据接收

目录标题 前言1、串口中断接收固定帧头帧尾数据1.1、任务需求1.2、实现思路1.3、程序源码&#xff1a; 2、串口中断接收用定时器来判断帧结束3、串口中断接收数据空闲中断3.1、串口的空闲中断3.2、实现思路3.3、程序源码 4、串口的空闲中断DMA转运4.1、DMA简介4.2、DMA模式4.3、…

蓝桥杯物联网竞赛_STM32L071_7_LORA发送接收

理论&#xff1a; LoRa&#xff08;长距离低功耗无线射频&#xff09;是利用无线电频谱中的电磁波进行通信的一种技术。它使用无线电频段中的射频信号来传输数据。电磁波是一种波动形式的能量&#xff0c;具有特定的频率和波长&#xff0c;可以传播在真空和大多数介质中&#x…

STM32——USART串口协议

文章目录 一.半双工&#xff0c;全双工和单工区别二.通信协议基础知识三.串口通信区分四.串口通信的基础知识五.USART串口外设六.USART框图七.USART基本结构八.数据模式九.单片机通过串口发送一个字节&#xff0c;数组,字符串和数字到电脑上9.1 接线9.2 代码 十.printf函数的移…

STM32——PWM介绍

STM32F103C8T6 PWM资源&#xff1a; 高级定时器&#xff08;TIM1&#xff09;&#xff1a;7路 通用定时器&#xff08;TIM2~TIM4&#xff09;&#xff1a;各4路 PWM输出模式&#xff1a; PWM模式1&#xff1a;在向上计数时&#xff0c;一旦 CNT < CCRx 时输出为有效电平&…

【唠唠嵌入式】__嵌入式只是一个技术领域,不是一个行业!

目录 前言 阶段1 大一到大三这个阶段我与大多数学生相同&#xff1a; 关于如何学习嵌入式软件开发&#xff1a; ① 学习51单片机 ② 开始查资料看书 ③ 开始学习STM32 ④ 开始增强 C 语言 ⑤ 认真研读 OS 方面的书 ⑥ 自己仿照 ucos 写一个 stm32 上的实时 os 出来 …

STM32G030F6P6 芯片实验 (二)

STM32G030F6P6 芯片实验 (二) Hello World - GPIO LED 尝试了下, 从 0 开始建 MDK HAL M0plus Project, 成功点亮 LED了。 但是 ST-LINK跑着跑着, 码飞了! 不知飞哪去了。 只好拿 MX 建了个 MDK Base。 呼叫 SysTick HAL_Delay(), 切换 LED。 基本上都是一样的用法, 只是换…

按键编程 pal库和标准库

按钮的电路设计 电路的搭建 原理与编程 创建了两个变量 用来捕捉按键的状态 先让两个变量都为1 previous和current都为1 &#xff08;按键没按下&#xff09; 然后让current去捕捉按键的状态通过读gpioA的pin0 如果为0就是按键按下 如果为1就是按键没按下 然后赋值给current …

【嵌入式项目应用】__物联网小知识:不同通讯线的通讯距离是多少,你知道吗?

目录 前言 不同协议通讯线的传输距离 无线传输协议与距离 1. 蓝牙 2. Zigbee 3. LoRa 4. Wi-Fi 5. 蜂窝网络 6. Sigfox 7. LoRaWAN (*&#xffe3;︶&#xffe3;)创作不易&#xff01;期待你们的 点赞、收藏和评论喔。 前言 在物联网中&#xff0c;通讯线的作用是…

stm32控制舵机sg90

一、sg90简介 首先介绍说一下什么是舵机。舵机是一种位置&#xff08;角度&#xff09;伺服的驱动器。适用于一些需要角度不断变化的&#xff0c;可以保持的控制系统。sg90就是舵机的一种。 舵机的工作原理比较简单。舵机内部有一个基准电压&#xff0c;单片机产生的PWM信号通…

【STM32 CAN】STM32G47x 单片机FDCAN作为普通CAN外设使用教程

STM32G47x 单片机FDCAN作为普通CAN外设使用教程 控制器局域网总线&#xff08;CAN&#xff0c;Controller Area Network&#xff09;是一种用于实时应用的串行通讯协议总线&#xff0c;它可以使用双绞线来传输信号&#xff0c;是世界上应用最广泛的现场总线之一。CAN协议用于汽…

STM32F4X SDIO(七) 例程讲解-SD_InitializeCards SD_GetCardInfo

STM32F4X SDIO&#xff08;七&#xff09;例程讲解-SD_InitializeCards & SD_GetCardInfo 例程讲解-SD_InitializeCards & SD_GetCardInfoCMD2:ALL_SEND_CID命令发送程序命令响应程序CID数据解析 CMD3:SEND_RELATIVE_ADDR命令发送程序命令响应程序 CMD9:SEND_CSD命令发…

RTOS实时操作系统在嵌入式开发中的应用

随着各种嵌入式系统应用的日益复杂和对实时性要求的提高&#xff0c;使用实时操作系统&#xff08;RTOS&#xff09;成为嵌入式开发中的一种重要选择。STM32微控制器作为一种强大的嵌入式处理器&#xff0c;与各种RTOS相结合&#xff0c;能够提供更高效、可靠并且易于维护的系统…

FreeRTOS_任务通知

目录 1. 任务通知简介 2. 发送任务通知 2.1 函数 xTaskNotify() 2.2 函数 xTaskNotifyFromISR() 2.3 函数 xTaskNotifyGive() 2.4 函数 vTaskNotifyGiveFromISR() 2.5 函数 xTaskNotifyAndQuery() 2.6 函数 xTaskNotifyAndQueryFromISR() 3. 任务通知通用发送函数 3.…

【STM32】定时器+基本定时器

一、定时器的基本概述 1.软件定时器原理 原来我们使用51单片机的时候&#xff0c;是通过一个__nop()__来进行延时 我们通过软件的方式来进行延时功能是不准确的&#xff0c;受到很多不确定因素。 2.定时器原理&#xff1a;计数之间的比值 因为使用软件延时受到影响&#xff0c…

STM32输入捕获pwm的周期和占空比

提示&#xff1a;文章写完后&#xff0c;目录可以自动生成&#xff0c;如何生成可参考右边的帮助文档 文章目录 前言一、输入捕获是什么&#xff1f;二、如何测量周期和占空比三、编写步骤四 参考程序1.读入数据 总结 前言 提示&#xff1a;这里可以添加本文要记录的大概内容&…

STM32 HAL库多路PWM没有输出踩坑记录

之前只弄过单路的&#xff0c;这次想用4路PWM&#xff0c;CUBE里面一顿配置&#xff0c;生成&#xff0c;然后套用之前的代码&#xff1a; HAL_TIM_PWM_Start(&htim3, TIM_CHANNEL_1); 这算是开启第一路了&#xff0c;心想后面无非就复制几条&#xff0c;改下通道的事了&…

【超详细】手把手教你STM32上报温湿度到腾讯云

🎀 文章作者:二土电子 🌸 关注公众号获取更多资料! 🐸 期待大家一起学习交流! 本文使用的是ESP-01S,如果使用的是正点原子的ESP8266模块,可私信公众号获取相关资料! 文章目录 一、AT固件烧录二、腾讯云配置2.1 注册登录2.2 新建项目2.3 新建产品2.4 产品开发2.4…

手机升级STM32单片机,pad下载程序,手机固件升级单片机,局域网程序下载,STM32单片机远程下载升级

STM32单片机&#xff0c;是我们最常见的一种MCU。通常我们在使用STM32单片机都会遇到程序在线升级下载的问题。 STM32单片机的在线下载通常需要以下几种方式完成&#xff1a; 1、使用ST提供的串口下载工具&#xff0c;本地完成固件的升级下载。 2、自行完成系统BootLoader的编写…

【STM32】定时器

systick定时器&#xff1a; 【STM32】Systick定时器-CSDN博客 1.STM32的定时器学习要点 参考手册 STM32F1xx中文参考手册.pdf 林何/STM32F103C8 - 码云 - 开源中国 (gitee.com) 1.通用定时器和其他的区别 1&#xff09;其实最多可以有17个定时器 2&#xff09;功能差别 2…

stm32HAL库串口错误回调函数的使用

使用stm32HAL库串口IDLE中断时,有时串口速度快会导致串口出错后续就收不到数据了 可以通过实现串口中断回调函数来解决 void usart_DMA_rx_EN(UART_HandleTypeDef *huart,uint8_t *pData,uint16_t Size) { HAL_UARTEx_ReceiveToIdle_IT(huart,pData,Size); //HAL_UARTEx_Recei…

STM32开发踩坑——CubeMX+Gcc编译开发环境配置

成立这个专栏的目的是&#xff0c;记录自己嵌入式开发遇到的问题&#xff0c;与成功的解决方法&#xff0c;方便自己回顾。 描述一下这个坑是怎么&#xff08;发现&#xff09;踩到的&#xff1a; 之前我的开发方法是CubeMX生成CubeIDE工程&#xff0c;再通过VScode中的EIDE插…

通信协议---串口、RS232、RS485

串口 起始位&#xff1a;低电平(0) 数据位&#xff1a;通常为8位 校验位&#xff1a;数据位校验位中为1的位是奇或偶 停止位&#xff1a;高电平(1) 5V TTL电平标准 2.4V~5V&#xff1a;逻辑1; 0~0.4V:逻辑0。 图中是对字符’c’进行数据传输&#xff0c;十进制99&#xff0c;…

STM32 IIC 实验

1. 可以选择I2C1&#xff0c;也可以选择I2C2&#xff0c;或者同时选择&#xff0c;同时运行 配置时钟信号 为节约空间&#xff0c;选择这两个&#xff0c;然后选择GENERATE CODE 二、HAL_I2C_Mem_Write I2C_HandleTypeDef *hi2c&#xff1a;I2C设备句柄 uint16_t DevAddress&am…

野火霸天虎 STM32F407 学习笔记_3 尝试寄存器映射方式点亮 LED 灯

新建工程 寄存器方式 要命啊&#xff0c;一看名字我就不想试。寄存器新建不得麻烦死。 哎算了为了学习原理&#xff0c;干了。 我们尝试自己写一个寄存器的库函数来引用。 首先我们需要引用 st 官方启动文件 stmf4xx.s&#xff0c;具体用途后面章节再展开讲解。然后我们自…

正点原子嵌入式linux驱动开发——Linux Regmap驱动

在前面学习I2C和SPI驱动的时候&#xff0c;针对I2C和SPI设备寄存器的操作都是通过相关的API函数进行操作的。这样Linux内核中就会充斥着大量的重复、冗余代码&#xff0c;但是这些本质上都是对寄存器的操作&#xff0c;所以为了方便内核开发人员统一访问I2C/SPI设备的时候&…

基于STM32的烟雾传感器

1.说到烟雾传感器&#xff0c;就有必要了解一下ADC的工作原理&#xff0c;Analog-to-Digital Converter&#xff0c;指模拟/数字转换器 。 2. 选择ADC 3.main函数 uint32_t smoke_value 0; while (1){HAL_ADC_Start(&hadc1);//启动ADC1转换HAL_ADC_PollForConversion(&am…

STM32F103C8T6第三天:pwm、sg90、超声波、距离感应按键开盖震动开盖蜂鸣器

1. 定时器介绍1&#xff08;317.21&#xff09; 软件定时&#xff08;之前的定时方法&#xff09;&#xff08;软件延时&#xff09;缺点&#xff1a;不精确、占用CPU资源 void Delay500ms() //11.0592MHz {unsigned char i, j, k;_nop_();i 4;j 129;k 119;do{do{while (-…

CAN 协议常见面试题总结

0.讲一下CAN通讯的过程 第一段&#xff1a;需要发送的通讯设备&#xff0c;先发送一个显性电平0&#xff0c;告诉其他通讯设备&#xff0c;需要开始通讯。 第二段&#xff1a;就是发送仲裁段&#xff0c;其中包括ID帧和数据帧类型&#xff0c;告诉其他通讯设备&#xff0c;需…

freertos统计任务运行时间和堆栈使用情况(快速应用篇)

这里写自定义目录标题 背景配置FreeRTOSCconfig.h统计时钟源任务中打印 背景 本文直接讲解如果快速实现freertos打印任务运行时间&#xff0c;堆栈使用情况等调试信息&#xff0c;不讲解原理。 配置 FreeRTOSCconfig.h 增加以下代码&#xff1a; #define configUSE_TRACE_…

【keil备忘录】2. stm32 keil仿真时的时间测量功能

配置仿真器Trace内核时钟为单片机实际的内核时钟&#xff0c;需要勾选Enable设置&#xff0c;设置完成后Enable取消勾选也可以&#xff0c;经测试时钟频率配置仍然生效&#xff0c;此处设置为48MHZ: 时间测量时必须打开register窗口&#xff0c;否则可能不会计数 右下角有计…

如何在任何STM32上面安装micro_ros

就我知道的&#xff1a;micro-ros只能在特定的昂贵的开发板上面运行&#xff0c;但是偶然发现了这个文章&#xff0c;似乎提供了一个全新的方式来在ros2和单片机之间通讯&#xff0c;如果能够这样肯定也能够提高效率&#xff0c;但即使不行&#xff0c;使用串口库也应该比较简单…

STM32CubeIDE(CUBE-MX hal库)----RTC时钟,时钟实时显示

系列文章目录 STM32CubeIDE(CUBE-MX hal库)----初尝点亮小灯 STM32CubeIDE(CUBE-MX hal库)----按键控制 STM32CubeIDE(CUBE-MX hal库)----串口通信 STM32CubeIDE(CUBE-MX hal库)----定时器 STM32CubeIDE(CUBE-MX hal库)----蓝牙模块HC-05&#xff08;详细配置&#xff09; 前言…

stm32项目(11)——基于stm32的俄罗斯方块游戏机

1.功能设计 使用stm32f103zet6平台&#xff0c;以及一块LCD屏幕&#xff0c;实现了一个俄罗斯方块游戏机。可以用按键调整方块的位置、还可以控制方块下降的速度&#xff01; 2.视频演示 俄罗斯方块 3.俄罗斯方块发展史 俄罗斯方块是一种经典的拼图游戏&#xff0c;由苏联俄罗…

【STM32】TIM定时器输入捕获

1 输入捕获 1.1 输入捕获简介 IC&#xff08;Input Capture&#xff09;输入捕获 输入捕获模式下&#xff0c;当通道输入引脚出现指定电平跳变时&#xff08;上升沿/下降沿&#xff09;&#xff0c;当前CNT的值将被锁存到CCR中&#xff08;把CNT的值读出来&#xff0c;写入到…

STM32F407-14.3.1-01 时基单元

时基单元 可编程高级控制定时器的主要模块是一个 16 位计数器及其相关的自动重载寄存器。计数器可递增计数、递减计数或交替进行递增和递减计数。计数器的时钟可通过预分频器进行分频。 计数器、自动重载寄存器和预分频器寄存器可通过软件进行读写。即使在计数器运行时也可执行…

[STM32-1.点灯大师上线】

学习了江协科技的前4课&#xff0c;除了打开套件的第一秒是开心的&#xff0c;后面的时间都是在骂娘。因为51的基础已经几乎忘干净&#xff0c;c语言已经还给谭浩强&#xff0c;模电数电还有点底子&#xff0c;硬着头皮上吧。 本篇主要是讲述学习点灯的过程和疑惑解释。 1.工…

ros2与stm32通讯比较优秀的串口库

这个是我确定的串口库&#xff1a;serial: serial::Serial Class Reference (wjwwood.io) 我也不知道其他的串口库了&#xff0c;我就知道几个&#xff0c;然后我觉得这个是3个里面学习周期比较短&#xff0c;然后质量比较可靠的库 我隐隐觉得这个串口库就是ros1选择的串口库…

STM32基础教程 p15独立看门狗

1 独立看门狗(IWDG)简介 独立看门狗(IWDG) &#xff1a;STM32F10xxx内置两个看门狗&#xff0c;提供了更高的安全性、时间的精确性和使用的灵活性。两个看 门狗设备(独立看门狗和窗口看门狗)可用来检测和解决由软件错误引起的故障&#xff1b;当计数器达到给 定的超时值时&…

STM32 PVD掉电检测功能的使用方法

STM32 PVD掉电检测功能的使用方法 前言 在实际应用场景中&#xff0c;可能会出现设备电源电压异常下降或掉电的情况&#xff0c;因此&#xff0c;有时候需要检测设备是否掉电&#xff0c;或者在设备掉电的瞬间做一些紧急关机处理&#xff0c;比如保存重要的用户数据&#xff…

STM32笔记—EXTI外部中断

一、简介 中断&#xff1a;在主程序运行过程中&#xff0c;出现了特定的中断触发条件&#xff08;中断源&#xff09;&#xff0c;使得CPU暂停当前正在运行的程序&#xff0c;转而去处理中断程序&#xff0c;处理完成后又返回原来被暂停的位置继续运行&#xff1b; 中断优先级&…

电机应用-步进电机

步进电机&#xff08;脉冲电机&#xff09; 基于最基本的电磁铁原理&#xff0c;是一种可以自由回转的电磁铁&#xff0c;其工作原理是依靠气隙磁导的变化来产生电磁转矩。 由于步进电机是一个可以把电磁脉冲转换成机械运动的装置&#xff0c;具有很好的数据控制特性&#xff0…

全局变量初始化时机测试(动态库,静态库,执行程序中)

测试环境 linux 和 windows 测试结果 在主函数定义的全局变量程序执行就会初始化在执行程序中其他cpp中定义的全局变量&#xff0c;没有头文件的话&#xff0c;全局变量不会初始化在动态库中定义的全局变量独立的cpp不会初始化在静态库中定义的全局变量不管有没有头文件都不…

windows系统自动更新中断电导致系统无法开启

windows系统自动更新中断电导致系统无法开启 现象原因解决进入bios拆机更新系统重新安装内存条 现象 前一天晚上电脑出现合上之后风扇继续转的现象&#xff0c;拔掉电源后&#xff0c;第二天开不了机。现象为按压电源键&#xff0c;电源键和充电指示灯亮一次后熄灭&#xff0c…

RT-Thread系列09——ETH网口设备

文章目录 1. ETH测试第一步&#xff1a;cubemx配置。第二步&#xff1a;board.h配置。第三步&#xff1a;rtthread settings配置第四步&#xff1a;以太网复位引脚设置第五步&#xff1a;修改rtthread源码第六步&#xff1a;修改 cubemx 生成的 main 函数第七步&#xff1a;编译…

嵌入式开发:ST-LINK V2.1仿真器,Type-C接口

标题ST-LINK V2.1仿真器&#xff0c;Type-C接口 之前做的版本虽然也是V2.1的&#xff0c;但使用的接口是USB的Micro形式&#xff0c;不支持正反插&#xff0c;也不兼容现在通用的手机数据线&#xff0c;出差的时候又要多带一条线。 现在终于把我的ST-LINK的接口改了一下 如下…

STM32——端口复用与重映射概述与配置(HAL库)

文章目录 前言一、什么是端口复用&#xff1f;什么是重映射&#xff1f;有什么区别&#xff1f;二、端口复用配置 前言 本篇文章介绍了在单片机开发过程中使用的端口复用与重映射。做自我学习的简单总结&#xff0c;不做权威使用&#xff0c;参考资料为正点原子STM32F1系列精英…

读取W25Q64的设备ID时输出0xff

发现的问题 读取W25Q64的设备ID时输出0xff 找到的不同解决方法 检查MISO和MOSI是否接对。MISO->DO&#xff0c;MOSI->DI检查程序在初始化spi时是否将SS拉高、SCK拉低如果是硬件spi那么检查SPI的初始化函数中&#xff0c;时钟极性SPI_CPOL误选为SPI_CPOL_Low&#xff0…

STM32-HAL库09-CAN通讯(loopback模式)

一、所用材料&#xff1a; STM32F103C6T6最小系统板 STM32CUBEMX&#xff08;HAL库软件&#xff09; MDK5 串口调试助手 二、所学内容&#xff1a; 初步学习如何使用STM32的CAN通讯功能&#xff0c;在本章节主要达到板内CAN通讯的效果&#xff0c;即32发送CAN信息再在CAN接收…

一、认识STM32

目录 一、初识STM32 1.1 STM32的命名规则介绍 1.2 STM32F103ZET6资源配置介绍 二、如何识别芯片管脚 2.1 如何寻找 IO 的功能说明 三、构成最小系统的要素 一、初识STM32 1.1 STM32的命名规则介绍 以 STM32F103ZET6 来讲解下 STM32 的命名方法&#xff1a; &…

DMA原理和应用

目录 1.什么是DMA 2.DMA的意义 3.DMA搬运的数据和方式 4.DMA 控制器和通道 5.DMA通道的优先级 6.DMA传输方式 7.DMA应用 实验一: 内存到内存搬运 CubeMX配置&#xff1a; ​编辑用到的库函数&#xff1a; 代码实现思路&#xff1a; 实验二: 内存到外设搬运 CubeMX…

基于STM32的蓝牙低功耗(BLE)通信方案设计与实现

蓝牙低功耗&#xff08;Bluetooth Low Energy&#xff0c;简称BLE&#xff09;是一种能够在低功耗环境下实现无线通信的技术。在物联网应用中&#xff0c;BLE被广泛应用于传感器数据采集、健康监测设备、智能家居等领域。本文将基于STM32微控制器&#xff0c;设计并实现一个简单…

基于STM32的智能小区环境监测

一、概述 本系统应用STM32F407VET6单片机为控制处理器&#xff0c;加上外设备组成单片机最小系统。配以输入输出部分&#xff0c;通过采集温湿度、甲醛、PM2.5等数据在LCD液晶上显示&#xff0c;内加单独时钟晶振电路&#xff0c;保护断电后时间参数不变&#xff0c;外接5v电源…

stm32 使用18B20 测试温度

用18b20 测试温度是非常常用的&#xff0c;不过18B20的调试不是这么容易的&#xff0c;有些内容网上很多的&#xff0c;不再重复说了&#xff0c;我先把波形说一下&#xff0c;再说程序部分&#xff1a; 整个都温度数据的顺序是&#xff1a; 1.700uS的低电平复位并测试18B20的…

stm32 HAL库 发送接受 到了一定的字符串后就卡在.s文件中

问题介绍&#xff1a; 某个项目开发过程中&#xff0c;串口接收中断&#xff0c;开启了DMA数据传输&#xff0c;开启了DMA中断&#xff0c;开启DMA半满中断。然后程序运行的过程中&#xff0c;接收了一部分数据后就会卡在启动文件的DMA1_Ch4_7_DMA2_Ch3_5_IRQHandler 中断里。…

stm32与Freertos入门(二)移植FreeRTOS到STM32中

简介 注意&#xff1a;FreeRTOS并不是实时操作系统&#xff0c;而是分时复用的&#xff0c;只不过切换频率很快&#xff0c;感觉上是同时在工作。本次使用的单片机型号为STM32F103C8T6,通过CubeMX快速移植。 一、CubeMX快速移植 1、选择芯片 打开CubeMX软件&#xff0c;进行…

STM32G0 ADC-HAL库 DMA 连续采集

文章目录 1、简介2、特殊说明3、配置3.1、基础配置3.2、取消中断3.2、循环采集 4、使用4.1、校准4.2、启动 5、测试代码 1、简介 测试平台&#xff1a;STM32G030C8 需求&#xff1a;ADC-DMA连续转换 2、特殊说明 使用STM32CubexMx初始化时会发现某些通道是灰色的&#xff0c…

STM32-TIM定时器编码器

目录 一、编码器接口简介 二、正交编码器 三、编码器框图 四、编码器接口基本结构 五、工作模式&#xff08;库函数第二个参数&#xff09; 六、实例 6.1 均不反相 6.2 TI1反相 七、开发步骤 八、编码器库函数 九、实验 一、编码器接口简介 >Encoder Interface 编…

【RTOS学习】任务创建 | 任务启动 | 任务切换 | 任务暂停和恢复 | 任务阻塞和唤醒 | 临界资源保护

&#x1f431;作者&#xff1a;一只大喵咪1201 &#x1f431;专栏&#xff1a;《RTOS学习》 &#x1f525;格言&#xff1a;你只管努力&#xff0c;剩下的交给时间&#xff01; 目录 &#x1f30f;任务创建&#x1f9ed;TCB和栈&#x1f9ed;伪造现场&#x1f9ed;链表操作 &am…

STM32 支持IAP的bootloader开发,使用串口通过Ymodem协议传输固件

资料下载: https://download.csdn.net/download/vvoennvv/88658447 一、概述 关于IAP的原理和Ymodem协议&#xff0c;本文不做任何论述&#xff0c;本文只论述bootloader如何使用串口通过Ymodem协议接收升级程序并进行IAP升级&#xff0c;以及bootloader和主程序两个工程的配置…

第一个程序(STM32F103点灯)

点亮LED 看原理图确定控制LED的引脚看主芯片手册确定如何设置/控制引脚写程序 LED有很多种&#xff0c;像插脚的&#xff0c;贴片的。 它们长得完全不一样&#xff0c;因此我们在原理图中将它抽象出来。 嵌入式系统中&#xff0c;一个LED的电阻非常低&#xff0c;I U/R&…

SPI总线(一):基本原理篇

相关文章&#xff1a; SPI总线&#xff08;二&#xff09;&#xff1a;驱动分析篇 SPI总线&#xff08;三&#xff09;&#xff1a;驱动实例 1、什么是SPI&#xff1f; SPI是串行外设接口(Serial Peripheral Interface)的缩写。是 Motorola 公司推出的一 种同步串行接口技术&a…

基于Arduino的空气净化器设计(论文+源码)

1. 系统设计 当前人们对家居环境的要求越来越高&#xff0c;因此本课题设计了一款基于Arduino的空气净化器设计的设计与实现 &#xff0c;在功能上设计如下&#xff1a; 可以实时检测当前环境的PM2.5浓度&#xff0c;温度和湿度&#xff1b;当温度太高则启动风扇进行通风&…

【基础篇】1.2 认识STM32(二)

3.3 VREF/VREF-引脚 VREF和VREF-是STM32中用于提供参考电压的引脚。如下图&#xff1a; VREF引脚可以连接一个单独的外部参考电压&#xff0c;范围在2.0V&#xff5e;VDDA&#xff0c;但不能超过VDDA&#xff0c;否则就超过了模拟器件的最大供电电压。在100引脚的封装中&#…

STM32与Freertos入门(六)队列

1、队列介绍 队列是FreeRTOS提供的一种重要的通信机制&#xff0c;用于在任务之间传递数据。 FreeRTOS队列是一种先进先出&#xff08;FIFO&#xff09;的数据结构&#xff0c;用于在任务之间传递消息或数据项。它允许一个任务将数据项发送到队列&#xff0c;而另一个任务则可…

基于MPU6050的跌倒检测项目设计

一、背景 随着人口老龄化的不断加剧&#xff0c;老年人的健康和安全问题备受关注。本设计旨在利用STM32单片机与MPU6050传感器相结合&#xff0c;实现基于角度变化的跌倒检测系统。这一系统不仅能够快速、准确地检测老年人是否发生跌倒&#xff0c;还通过整合通信模块实现了实…

BKP 备份寄存器 RTC 实时时钟-stm32入门

这一章节我们要讲的主要内容是 RTC 实时时钟&#xff0c;对应手册&#xff0c;是第 16 章的位置。 实时时钟这个东西&#xff0c;本质上是一个定时器&#xff0c;但是这个定时器&#xff0c;是专门用来产生年月日时分秒&#xff0c;这种日期和时间信息的。所以学会了 STM32 的…

STM32 cubeMX 直流电机控制风扇转动

本文使用的是 HAL 库。 文章目录 前言一、直流电机介绍二、直流电机原理图三、直流电机控制方法四、STM32CubeMX 配置直流电机五、代码编写总结 前言 实验开发板&#xff1a;STM32F051K8。所需软件&#xff1a;keil5 &#xff0c; cubeMX 。实验目的&#xff1a;了解 直流电机…

STM32-ADC模数转换器

目录 一、ADC简介 二、逐次逼近型ADC内部结构 三、STM32内部ADC转换结构 四、ADC基本结构 五、输入通道 六、转换模式 6.1单次转换&#xff0c;非扫描模式 6.2连续转换&#xff0c;非扫描模式 6.3单次转换&#xff0c;扫描模式 6.4连续转换&#xff0c;扫描模式 七、…

stm32学习总结:4、Proteus8+STM32CubeMX+MDK仿真串口收发

stm32学习总结&#xff1a;4、Proteus8STM32CubeMXMDK仿真串口收发 文章目录 stm32学习总结&#xff1a;4、Proteus8STM32CubeMXMDK仿真串口收发一、前言二、资料收集三、STM32CubeMX配置串口1、配置开启USART12、设置usart中断优先级3、配置外设独立生成.c和.h 四、MDK串口收发…

【正点原子STM32连载】第十七章 通用定时器中断实验 摘自【正点原子】APM32E103最小系统板使用指南

第十七章 通用定时器中断实验 本章介绍APM32E103通用定时器的使用&#xff0c;通用定时器相较于基本定时器&#xff0c;拥有输入捕获和输出比较等功能&#xff0c;这些功能可以用来测量脉冲宽度、频率和占空比&#xff0c;并且可以产生并输出波形等。通过本章的学习&#xff0…

stm32项目(17)——基于stm32的温湿度检测protues仿真

1.功能设计 基于stm32单片机&#xff0c;驱动DHT11芯片&#xff0c;检测温度与湿度&#xff0c;并通过串口打印出来。 仿真图如下所示&#xff1a; 2.模块介绍 DHT11模块是一种低成本的数字温湿度传感器模块&#xff0c;常用于测量环境的温度和湿度。它由一个温湿度传感器和一…

STM32F4的DHT11初始化与实例分析

STM32—— DHT11 本文主要涉及STM32F4 的DHT11的使用以及相关时序的介绍&#xff0c;最后有工程下载地址。 文章目录 STM32—— DHT11一、 DHT11的介绍1.1 DHT11的经典电路 二、DHT11的通信2.1 DHT11的传输数据格式2.2 DHT11 通信分步解析 三、 DHT11 代码3.1 引脚图3.2 电路图…

stm32 pwm输出

PWM 技术原理 CUBEMX PWM配置 pwm初始化 MX_TIM2_Init(); HAL_TIM_PWM_Start(&htim2, TIM_CHANNEL_4);设置pwm //pwmVal 0 ~ 1000 __HAL_TIM_SetCompare(&htim2, TIM_CHANNEL_4, pwmVal);

GD32移植STM32工程(因为懒,所以移植)

文章目录 一、前言二、差异性三、软件移植部分1.前期准备1.1 安装GD32固件库1.2 选择所用芯片 2.修改程序2.1 启动时间&#xff08;内部时钟可不改&#xff09;2.2 主频2.2.1 系统时钟配置2.2.2 108MHz宏定义第一处第二处第三处第四处第五处 2.2.3 串口2.2.4 FLASH 四、总结 一…

stm32项目(15)——基于stm32的LED闪烁试验protues仿真

1.功能设计 使用stm32的软件定时器功能&#xff0c;控制PA口的两个LED灯轮流闪烁。 仿真图如下所示 2.设计思路 首先是LED灯的GPIO口初始化&#xff0c;设置为输出模式。 然后是定时器的初始化 最后 在中断服务函数里面&#xff0c;对LED进行闪烁操作 3.软件介绍 protues …

基于STM32的HC-SR501红外感应模块驱动与应用

一、 简介 HC-SR501红外感应模块是一种常用的人体红外感应模块&#xff0c;常用于安防监控、智能家居等领域。本文将介绍如何在STM32单片机上驱动和应用HC-SR501红外感应模块&#xff0c;实现基本的人体检测功能。 二、 模块原理 HC-SR501红外感应模块基于红外热释电传感器&am…

Keil5软件仿真 定时器互补通道 波形输出(Logic Analyzer)

步骤一&#xff1a;管脚配置确认。 ①配置定时器的管脚模式为复用推挽输出模式&#xff08;GPIO_MODE_AF_PP&#xff09;&#xff01;&#xff01;&#xff01;&#xff0c;注意&#xff1a;复用开漏模式软件仿真时无波形。 步骤二&#xff1a;编译程序。 ①点击编译按钮。 …

电源输入端保护电路与器件

电路防护&#xff1a; 过压保护&#xff1a; DC口输入电平为5V,但是如果不小心接入12V电源的话防反接电路开始起作用。PMOS的栅极在低电平时候打开。12V错误电压输入后稳压二极管将其上端电位钳在6V&#xff08;适二极管属性而定&#xff09;&#xff0c;由此R1两端形成电位差…

STM32——CAN协议

文章目录 一.CAN协议的基本特点1.1 特点1.2 电平标准1.3 基本的五个帧1.4 数据帧 二.数据帧解析2.1 帧起始和仲裁段2.2 控制段2.3 数据段和CRC段2.4 ACK段和帧结束 三.总线仲裁四.位时序五.STM32CAN控制器原理与配置5.1 STM32CAN控制器介绍5.2 CAN的模式5.3 CAN框图 六 手册寄存…

STM32 IIC开发学习

1IIC总线时序图 ① 起始信号 当 SCL 为高电平期间&#xff0c;SDA 由高到低的跳变。起始信号是一种电平跳变时序信号&#xff0c;而不是 一个电平信号。该信号由主机发出&#xff0c;在起始信号产生后&#xff0c;总线就会处于被占用状态&#xff0c;准备数据 传输。 ② 停止信…

【STM32】STM32学习笔记-定时器定时中断 定时器外部时钟(14)

00. 目录 文章目录 00. 目录01. 定时器中断相关API1.1 TIM_InternalClockConfig1.2 TIM_TimeBaseInit1.3 TIM_TimeBaseInitTypeDef1.4 TIM_ClearFlag1.5 TIM_ITConfig1.6 TIM_Cmd1.7 中断服务函数1.8 TIM_ETRClockMode2Config 02. 定时器定时中断接线图03. 定时器定时中断示例0…

MK米客方德品牌 SD NAND在对讲机领域的引领作用

SD NAND在对讲机上的应用 SD NAND在对讲机上广泛应用&#xff0c;为其提供了高效可靠的存储解决方案。 这种存储技术不仅能容纳大量语音和数据文件&#xff0c;而且具有高速读取的特点&#xff0c;保障了实时通信的质量。SD NAND还注重安全性&#xff0c;通过数据加密和访问控…

stm32中的i2c协议

stm32中I2C 文章目录 stm32中I2CI2C 协议简介I2C物理层协议层I2C基本读写过程 **通讯的起始和停止信号****数据有效性****地址及数据方向****响应** STM32的I2C特性及架构**STM32** **的** I2C外设简介STM32 的 I 2C 架构剖析通讯引脚 通讯过程主发送器主接收器 I2C初始化结构体…

(新手必看)自定义数据传输通信协议+STM32代码详解

前言 本篇博客主要学习和了解一些单片机协议的格式&#xff0c;在对传输大数据或者要求准确性的时候&#xff0c;都需要通过协议来发送接收&#xff0c;下面通过了解协议的基本构成和代码来分析和实现协议的发送和接收。本篇博客大部分是自己收集和整理&#xff0c;如有侵权请联…

STM32CubeMX教程8 TIM 通用定时器 - 输出比较

目录 1、准备材料 2、实验目标 3、实验流程 3.0、前提知识 3.1、CubeMX相关配置 3.1.1、时钟树配置 3.1.2、外设参数配置 3.1.3、外设中断配置 3.2、生成代码 3.2.1、外设初始化函数调用流程 3.2.2、外设中断函数调用流程 3.2.3、添加其他必要代码 4、常用函数 5…

stm32学习笔记:TIM-定时中断和外部时钟

定时器四部分讲解内容&#xff0c;本文是第一部分 ​​​​​TIM简介 基本定时器 时基单元&#xff1a;预分频器、计数器、自动重装载寄存器 预分频器之前&#xff0c;连接的就是基准计数时钟的输入&#xff0c;由于基本定时器只能选择内部时钟&#xff0c;所以可以认为这根…

RT-Thread STM32F407 PWM

为了展示PWM效果&#xff0c;这里用ADC来采集PWM输出通道的电平变化 第一步&#xff0c;进入RT-Thread Settings配置PWM驱动 第二步&#xff0c;进入board.h&#xff0c;打开PWM宏 第三步&#xff0c;进入STM32CubeMX&#xff0c;配置时钟及PWM 第四步&#xff0c;回到R…

STM32在FreeRTOS下的us延时

STM32在FreeRTOS下的us延时 前言 freeRTOS下跑SPI时需要微秒级别的延时&#xff0c;但是freeRTOS只提供了毫秒级的&#xff0c;记录一下实现us延时的方法。 前期分析 最简单的方式就是开个定时器或者干脆直接计算一下用nop做都可以实现us延时&#xff0c;但是显然还是使用滴…

STM32踩坑:LAN8720未接网线,上电后再接网线,网络模块无法正常使用

LAN8720未接网线&#xff0c;上电后再接网线&#xff0c;网络模块无法正常使用 一、问题描述 最近因为做的项目出了BUG&#xff0c;STM32 单片机在未接网线的状态下&#xff0c;上电一段时间后&#xff0c;将网线插入网口后&#xff0c;IP地址ping不通&#xff0c;网络模块无…

6、单片机与AT24C02的通讯(IIC)实验(STM32F407)

IIC简介 I2C(IIC,Inter&#xff0d;Integrated Circuit),两线式串行总线,由PHILIPS公司开发用于连接微控制器及其外围设备。 它是由数据线SDA和时钟SCL构成的串行总线&#xff0c;可发送和接收数据。在CPU与被控IC之间、IC与IC之间进行双向传送&#xff0c;高速IIC总线一般可达…

Linux_正点VMware Workstation Pro 密钥

1. 针对正点原子 Linux 教程中的 VMware Workstation Pro 17 软件中的密钥&#xff0c;这里给出可用的密钥&#xff0c;欢迎使用&#xff01;同时也希望拿到密钥的朋友在 Linux 学习的路上一帆风顺&#xff0c;事半功倍&#xff01;&#xff01;&#xff01; MC60H-DWHD5-H80U9…

STM32/N32G455国民科技芯片驱动DS1302时钟---笔记

这次来分享一下DS1302时钟IC&#xff0c;之前听说过这个IC&#xff0c;但是一直没搞过&#xff0c;用了半天时间就明白了原理和驱动&#xff0c;说明还是很简单的。 注&#xff1a;首先来区分一下DS1302和RTC时钟有什么不同&#xff0c;为什么不直接用RTC呢&#xff1f; RTC不…

STM32笔记—USART

课外知识插入&#xff1a;STM32单片机extern全局变量_stm32全局变量-CSDN博客 如果你把temple定义在A中&#xff0c;然后让A.h和B.h包含在includes.h中&#xff0c;然后把includes.h放在A.c和B.c中单个编译是没有问题的&#xff0c;但是链接的时候会出现问题&#xff0c; “S…

基于STM32+射频模块设计的导盲杖

基于STM32设计的列车座位导盲杖是一个集成了RFID读卡技术与SYN6288语音模块的智能辅助设备,专为视觉障碍者在列车上定位座位而设计。当导盲杖触碰到座位时,其上的M4255 RFID读卡器模块会读取座位上的卡号信息,信息包含了车厢与座位的具体位置。一旦读取成功,SYN6288语音模块…

嵌入式linux--sysfs文件系统以及操作GPIO

sysfs文件系统 在Linux系统中&#xff0c;/sys路径是一个特殊的虚拟文件系统&#xff08;Virtual File System&#xff09;&#xff0c;用于提供对内核和设备的运行时信息的访问。它是sysfs文件系统的挂载点&#xff0c;提供了一种以文件和目录形式表示系统设备、总线、驱动程…

基于STM32婴儿床检测控制系统及源程序

一、系统方案 1、本设计采用STM32单片机作为主控器。 2、DHT11检测湿度&#xff0c;液晶OLED显示&#xff0c;声音检测声音&#xff0c;有声音或尿床&#xff0c;蜂鸣器报警。 3、手机APP可以控制音乐播放。 二、硬件设计 原理图如下&#xff1a; 三、单片机软件设计 1、首先…

【STM32外设系列】NRF24L01无线收发模块

&#x1f380; 文章作者&#xff1a;二土电子 &#x1f338; 关注公众号获取更多资料&#xff01; &#x1f438; 期待大家一起学习交流&#xff01; 文章目录 一、NRF24L01简介1.1 什么是NRF24L011.2 NRF24L01引脚介绍1.3 NRF24L01工作模式1.4 NRF24L01的SPI时序1.5 Enhanc…

【STM32】RTC(实时时钟)

1.RTC简介 本质&#xff1a;计数器 RTC中断是外部中断&#xff08;EXTI&#xff09; 当VDD掉电的时候&#xff0c;Vbat可以通过电源--->实时计时 STM32的RTC外设&#xff08;Real Time Clock&#xff09;&#xff0c;实质是一个 掉电 后还继续运行的定时器。从定时器的角度…

STM32GPIO——上拉下拉电阻、施密特触发器、P-MOS/N-MOS管

图1和图2 两种版本的GPIO基本结构图 如上两个图所示&#xff0c;标号2都为上拉、下拉电阻部分&#xff0c;阻值约为30k~50k欧&#xff0c;通过对应开关进行控制&#xff0c;开关由寄存器控制。 当引脚外部的器件没有干扰引脚的电压时&#xff0c;即没有外部的上、下拉电压&a…

【STM32】CRC(循环冗余校验)

一、CRC的背景知识 1、什么是CRC (1)CRC&#xff08;Cyclic Redundancy Check&#xff09;&#xff0c;循环冗余校验 (2)什么是校验&#xff0c;为什么需要校验&#xff1a;数据传输&#xff0c;数据存储过程中需要使用到的 (3)什么是冗余&#xff1a;表示比实际上要传输的数据…

USART(1)

什么是USART 单片机上有的许多的外设 单片机通过这些外设实现特殊的功能 如果单片机想要和蓝牙模块实现数据的传输那么就也需要单片机有串口模块来和蓝牙模块的串口进行连接 相互传输数据 在单片机上的串口就叫USART USART就是单片机上的外设 来实现串口之间的通信功能 USART名…

STM32F4移植SPI注意事项

一、注意事项 可以看我之前移植的文章&#xff0c;那些就不提了&#xff0c;记得要复用&#xff0c;把IO复用成对应的功能io&#xff0c;然后还要注意时钟&#xff0c;看你需要的功能&#xff0c;去调对应的时钟&#xff0c;把时钟调匹配了&#xff0c;基本上不会有问题。 比如…

寄存器位_置0或置1操作

寄存器位_置0或置1操作 1、特定位清零用&2、特定位置1用|3、位操作符的其他应用示例 前提条件&#xff1a;比如说32位的寄存器&#xff0c;最低位为bit0&#xff0c;最高位为bit31 1、特定位清零用& //实例1&#xff1a;给定一个整型数a&#xff0c;清除a的bit15&…

J-Flash工具的使用---擦除、烧录及校验

文章目录 前言一、打开J-Flash工具二、使用步骤1.创建工程&#xff0c;选择MCU&#xff0c;配置端口2.打开要烧录的文件3.连接J-Link4.擦除Flash5. 烧录固件 总结 前言 不使用IDE&#xff08;如keil、Iar&#xff09;如何来烧录固件。当我们的程序需要保密&#xff0c;不需要被…

STM32通讯设计

STM32通讯设计 通讯流程STM32程序 通讯流程 1.使用HT2202芯片配置为主机接收&#xff08;轮询模式&#xff09;。 2.将STM32芯片配置为从机发送&#xff0c;中断模式下发送固定数据。 3.如果HT2202芯片能够收到STM32发送的数据则通讯成功&#xff0c;否则通讯失败。 STM32程序…

基于单片机的空气质量实时监测系统(论文+源码)

1. 系统设计 通过文献和市场调查&#xff0c;本设计的实现方案框架是以单片机为核心控制处理器搭建外围的功能模块如温度传感器模块、湿度传感器检测模块、二氧化碳传感器检测设备模块、无线通信模块和蜂鸣器声光报警提示模块来实现&#xff0c;辅以显示模块来展示。 该系统通…

四 STM32F4 实现外部中断以及串口打印

参考文章&#xff1a; 中断-NVIC 以及EXTI外设详解 这里使用STM32F411U6 微控制器 &#xff0c; 这里使用PC15产生脉冲信号&#xff0c; 并利用PA1 引脚输入信息来触发外部中断 . 1. 初始化外部中断 EXIT_init() 对用到的外设进行时钟使能配置引脚PC15 为输出 &#xff0c; …

STM32-基本定时器

一、基本定时器的作用 定时触发输出直接驱动DAC。 二、基本定时器的框图 以STM32F103系列为例&#xff0c;具体开发板请查看开发手册。 类别定时器总线位数计数方向预分频系数是否可以产生DMA捕获/比较通道互补输出基本定时器TIM6 / TIM7APB116位向上1~65536可以0无通用定时…

STM32的启动流程

1、STM32上电启动的主要步骤 a、初始化堆栈指针sp_initial_sp&#xff0c;初始化PC指针pcReset_Handler。 b、初始化中断向量表。 c、配置系统时钟。 d、调用 C 库函数_main 初始化用户堆栈&#xff0c;然后进入 main 函数。 2、STM32的三种启动模式 复位后&#xff0c;在 S…

新手必看!!超详细!STM32-基本定时器

一、基本定时器的作用 定时触发输出直接驱动DAC。 二、基本定时器的框图 以STM32F103系列为例&#xff0c;具体开发板请查看开发手册。 类别定时器总线位数计数方向预分频系数是否可以产生DMA捕获/比较通道互补输出基本定时器TIM6 / TIM7APB116位向上1~65536可以0无通用定时…

IIC 实验

IIC 简介 IIC(Inter-Integrated Circuit)总线是一种由 PHILIPS 公司开发的两线式串行总线&#xff0c;用于连接微 控制器以及其外围设备。它是由数据线 SDA 和时钟线 SCL 构成的串行总线&#xff0c;可发送和接收数 据&#xff0c;在 CPU 与被控 IC 之间、IC 与 IC 之间进行双…

【STM32】SPI通信

1 SPI通信 SPI&#xff08;Serial Peripheral Interface&#xff0c;串行外设接口&#xff09;是由Motorola公司开发的一种通用数据总线 四根通信线&#xff1a;SCK&#xff08;Serial Clock&#xff0c;串行时钟&#xff09;、MOSI&#xff08;Master Output Slave Input&am…

STM32F407-14.3.10-表73具有有断路功能的互补通道OCx和OCxN的输出控制位-00x00

如上表所示&#xff0c;MOE0&#xff0c;OSSI0&#xff0c;CCxE0&#xff0c;CCxNE0时&#xff0c;OCx与OCxN的输出状态取决于GPIO端口上下拉状态。 ---------------------------------------------------------------------------------------------------------------------…

普中STM32-PZ6806L开发板(HAL库函数实现-TIM5捕获上升沿, 获取输入频率)

简介 通过TIM5_CH1捕获上升沿电平, 两个上升沿的计数值计算频率;电路原理图 连接图 将 PC7 与 PA0使用跳线进行连接 其他知识 APIs /* Blocking mode: Polling */ HAL_StatusTypeDef HAL_TIM_IC_Start(TIM_HandleTypeDef *htim, uint32_t Channel); // 堵塞捕获开启 HAL_St…

【正点原子STM32连载】第十九章 通用定时器输入捕获实验 摘自【正点原子】APM32E103最小系统板使用指南

1&#xff09;实验平台&#xff1a;正点原子APM32E103最小系统板 2&#xff09;平台购买地址&#xff1a;https://detail.tmall.com/item.htm?id609294757420 3&#xff09;全套实验源码手册视频下载地址&#xff1a; http://www.openedv.com/docs/boards/xiaoxitongban 第十…

(五)STM32 NVIC 中断、优先级管理及 AFIO 时钟的开启

目录 1. 中断相关知识简介 1.1 什么是中断 1.2 什么是内中断、外中断 1.3 什么是可屏蔽中断、不可屏蔽中断 2. CM3 内核中断介绍 2.1 F103系统异常清单 2.2 F103 外部中断清单 3. NVIC 简介 3.1 NVIC 寄存器简介 3.2 NVIC 相关寄存器的介绍 4. 中断优先级 4.1 优先…

STM32基础教程 p19 UART通信初始化及其单个字符发送代码

1 UART的应用场景 1&#xff1a; 2&#xff1a; RS232和RS485通信协议介绍 3&#xff1a; 举例&#xff1a;PM2.5灰尘度的传感器 2 stm32的UART口 UART:异步通信 USART:同步通信 3 UART 代码实现 通用同步异步收发器&#xff08;USART&#xff09;提供了一种灵活的方法来…

FreeRTOS列表与列表项相关知识总结以及列表项的插入与删除实战

1.列表与列表项概念及结构体介绍 1.1列表项简介 列表相当于链表&#xff0c;列表项相当于节点&#xff0c;FreeRTOS 中的列表是一个双向环形链表 1.2 列表、列表项、迷你列表项结构体 1&#xff09;列表结构体 typedef struct xLIST { listFIRST_LIST_INTEGRITY_CHECK_VAL…

STM32CubeMX教程14 ADC - 多通道DMA转换

目录 1、准备材料 2、实验目标 3、实验流程 3.0、前提知识 3.1、CubeMX相关配置 3.1.1、时钟树配置 3.1.2、外设参数配置 3.1.3、外设中断配置 3.2、生成代码 3.2.1、外设初始化调用流程 3.2.2、外设中断调用流程 3.2.3、添加其他必要代码 4、常用函数 5、烧录验…

普中STM32-PZ6806L开发板(USART2 串口 + HI-LINK-V20离线语音模块控制LED灯)

简介 买了HI-LINK-V20型号的离线语音识别模块, 为了后面可以做有意思的东西, 现在先来用用, 使用USART2 串口 接收来自我在HI-LINK-V20中预设的动作, 当识别到词条时发送对应的指令到串口, HI-LINK串口接的就是STM32F03ZET6的USART2, 且往下看。 电路原理图 连线图 连线引脚表…

【STM32】STM32学习笔记-按键控制LED 光敏传感器控制蜂鸣器(08)

00. 目录 文章目录 00. 目录01. 按键控制LED接线图02. 按键控制LED程序示例03. 光敏传感器控制Buzzer接线图04. 有源蜂鸣器原理图05. 光敏传感器控制Buzzer示例06. 程序示例下载07. 附录 01. 按键控制LED接线图 02. 按键控制LED程序示例 led.h #ifndef __LED_H__ #define __L…

STM32 FreeRTOS列表和列表项(标准库)

一、前言 本文将系统的介绍下列表和列表项的基础&#xff0c;关系&#xff0c;一些底层逻辑等 二、列表项 结构体&#xff08;在list.h中&#xff09;&#xff1a; struct xLIST_ITEM {listFIRST_LIST_ITEM_INTEGRITY_CHECK_VALUE; //检查列表项完整性configLIST_VOLATIL…

STM32F407-14.3.10-表73具有有断路功能的互补通道OCx和OCxN的输出控制位-01x00

如上表所示&#xff0c;MOE0&#xff0c;OSSI1&#xff0c;CCxE0&#xff0c;CCxNE0时&#xff0c;OCx与OCxN的输出状态取决于GPIO端口上下拉状态。 ---------------------------------------------------------------------------------------------------------------------…

STM32_启动流程详解

目录标题 前言 启动流程概述复位中断函数详解SystemInit函数详解 __main函数详解 附录 stm32单片机的存储器映像中断向量表的映射 前言 最近在学习IAP远程OTA升级单片机固件程序&#xff0c;发现自己对单片机的启动流程还不是那么了解&#xff0c;就总结整理一下吧。 启动流程…

STM32 TIM定时中断设计

单片机学习 目录 文章目录 一、定时器定时中断设计步骤 二、定时器配置 1.RCC开启时钟 2.选择时钟源 3.配置时基单元 4.配置输出中断控制 5.配置NVIC 6.运行控制 三、设计中断函数 总结 一、定时器定时中断设计步骤 定时中断基本框架结构图&#xff1a; 根据结构图可按步骤配置…

【STM32】STM32学习笔记-OLED显示屏(10)

00. 目录 文章目录 00. 目录01. OLED显示屏接线图02. OLED函数库03. OLED测试代码04. Keil调试05. 程序下载06. 附录 01. OLED显示屏接线图 02. OLED函数库 oled.h #ifndef __OLED_H #define __OLED_Hvoid OLED_Init(void); void OLED_Clear(void); void OLED_ShowChar(uint8…

stm32H库的内部FLASH读写操作与结构体数组数据写入与读取

stm32H库的内部FLASH读写操作与结构体数组数据写入与读取 1.软硬件准备2.关于STM32的Flash的一些说明3.实验结果 参考博主-STM32系列(HAL库)——内部FLASH读写实验 1.软硬件准备 软件&#xff1a;CubeMX、SSCOM&#xff08;串口调试助手&#xff09; 硬件&#xff1a;SMT32F…

STM32 ESP8266 物联网智能温室大棚 (附源码 PCB 原理图 设计文档)

资料下载: https://download.csdn.net/download/vvoennvv/88680924 一、概述 本系统以STM32F103C8T6单片机为主控芯片&#xff0c;采用相关传感器构建系统硬件电路。其中使用DHT11温湿度传感器对温度和湿度的采集&#xff0c;MQ-7一氧化碳传感器检测CO浓度&#xff0c;GP2Y101…

嵌入式-32单片机-基于HAL库的电动车报警器项目(开源)

一&#xff1a;项目描述 1.功能描述&#xff1a;通过一个无线遥控器控制电动车的报警器。 2.需求分析&#xff1a; 当遥控器按A进入警报模式&#xff0c;当小偷触碰电动车&#xff0c;电动车振动传感器会发出信号&#xff0c;触发继电器&#xff0c;继电器闭合高功率喇叭响起&…

单电阻落地扇电机驱动 DEMO 方案

SYNWIT DEMO方案 低压 PMSM 电机&#xff0c;软件上采用SVPWM空间电压矢量调制技术&#xff0c;直接闭环启动&#xff0c;相比传统方波效率提高15%&#xff0c;具有更小的谐波分量及转矩脉动&#xff0c;同时采用32位MCU芯片SWM201G6S7 SSOP28 封装为主控&#xff0c;为驱动算…

STM32 HAL库定时器触发DMA并口数据传输

代码目的&#xff1a; STM32与FPGA通讯&#xff0c;通过8位并口线进行通讯&#xff0c;16byte的数据在10us之内通过8位并口数据线传给FPGA&#xff0c;FPGA读取该数据。 HAL库设置说明&#xff1a; 时钟采用80MHz&#xff0c;由于16byte的数据要在10us之内传完&#xff0c;那…

【CANopen】关于STM32中CanFestival的pdo应用

系列文章目录 文章目录 系列文章目录一、发送1、同步传输2、异步传输 二、接收 使用STM32F407单片机 pdo属于过程数据用来传输实时数据&#xff0c;即单向传输&#xff0c;无需接收节点回应。 一、发送 分为同步传输和异步传输。 1、同步传输 分为循环传输&#xff08;周期…

基于GEC6818的点餐系统

本次项目开发环境&#xff1a;gec6818&#xff0c;QT5.14.2&#xff0c;SecureCRT。 所使用的相关技术&#xff1a;c/s架构&#xff0c;STL库&#xff0c;C封装&#xff0c;标准化代码编写 实现的功能&#xff1a;用户登录页面&#xff0c;食品分区在不同页面&#xff0c;用户…

【江科协】学习STM32一些容易忘记的知识点集合(C语言篇)

前期提醒&#xff1a;这些都是视频跳转链接&#xff0c;可以直接指定视频中的具体位置。 1. u_int8和u8之类的知识点 【STM32入门教程-2023版 细致讲解 中文字幕】 【精准空降到 19:11】 https://www.bilibili.com/video/BV1th411z7sn/?p7&share_sourcecopy_web&vd_…

二、什么是寄存器

目录 一、STM32芯片架构简图及系统框图 1.1 STM32芯片架构简图 1.1.1 FLASH是什么&#xff0c;用来做什么 1.1.2 SRAM是什么&#xff0c;用来做什么 1.1.3 片上外设是什么&#xff0c;用来做什么 1.2 系统框图 1.2.1 驱动单元 1.2.2 被动单元 二、什么是寄存器 2.1 存…

STM32:OLED屏幕开发

一、OLED原理 所谓的屏幕就是由一个个小灯组成&#xff0c;每个小灯称之为一个像素。只要在屏幕上有选择地点亮一部分小灯&#xff0c;就可以显示我们想要的图案。所谓下分辨率就是屏幕上的小灯数量。常见单片机中常见的屏幕分辨率常见的就是128(列长)*64(行高)。如果每个小灯都…

蓝桥杯物联网_STM32L071_1_CubMxkeil5基础配置

CubMx配置&#xff1a; project工程中添加.h和.c文件&#xff1a; keil5配置: 运行&#xff1a; 代码提示与解决中文乱码&#xff1a;

STM32出现 Invalid Rom Table 芯片锁死解决方案

出现该现象的原因为板子外部晶振为25M&#xff0c;而程序软件上以8M为输入晶振频率&#xff0c;导致芯片超频锁死&#xff0c;无法连接、下载。 解决方案 断电&#xff0c;将芯片原来通过10k电阻接地的BOOT0引脚直接接3.3V&#xff0c;硬件上置1上电&#xff0c;连接目标板&am…

【STM32】TF卡FTA32文件系统

一、SD卡介绍 1.SD简介 本质&#xff1a;NandFlash控制芯片 2.SD卡存储容量等级 3.FAT文件系统的使用 4.SD卡速度等级 5.SD卡驱动方式 1.SDIO&&SD 1&#xff09;SDIO接口通信线&#xff1a;CLK/CMD/DAT0-3&#xff08;数据传输线4根&#xff09; 2&#xff09;SPI接口…

基于STM32的烟雾浓度检测报警仿真设计(仿真+程序+讲解视频)

这里写目录标题 &#x1f4d1;1.主要功能&#x1f4d1;2.仿真&#x1f4d1;3. 程序&#x1f4d1;4. 资料清单&下载链接&#x1f4d1;[资料下载链接](https://docs.qq.com/doc/DS0VHTmxmUHBtVGVP) 基于STM32的烟雾浓度检测报警仿真设计(仿真程序讲解&#xff09; 仿真图prot…

STM32_5(中断)

中断系统 中断&#xff1a;在主程序运行过程中&#xff0c;出现了特定的中断触发条件&#xff08;中断源&#xff09;&#xff0c;使得CPU暂停当前正在运行的程序&#xff0c;转而去处理中断程序&#xff0c;处理完成后又返回原来被暂停的位置继续运行中断优先级&#xff1a;当…

Android APP开发:单片机(STM32/GD系列)ISP升级调试注意事项

硬件环境&#xff1a;安卓与MCU串口通信 1.先使用官方烧录工具验证指令交互顺序和时序 2.不同型号的MCU拉高boot脚和复位这两步操作的先后顺序可能不一样&#xff0c;以实际测试结果为准 3.先调通发0x7F回复0x79&#xff0c;然后发送0x00 0xFF来查询得到MCU的自举程序版本和…

STM32:基本定时器原理和定时程序

一、初识定时器TIM 定时器就是计数器&#xff0c;定时器的作用就是设置一个时间&#xff0c;然后时间到后就会通过中断等方式通知STM32执行某些程序。定时器除了可以实现普通的定时功能&#xff0c;还可以实现捕获脉冲宽度&#xff0c;计算PWM占空比&#xff0c;输出PWM波形&am…

C# 监测 Windows 设备变动事件

本程序通过WPF窗口的 WindowProc 函数处理Windows的硬件或配置改变的事件。开发环境为VS 2022。 基础信息 硬件或配置改变的基础有以下内容&#xff1a; 消息: WM_DEVICECHANGE 要实现的WindowProc 函数参数&#xff1a; protected IntPtr WndProc(IntPtr hwnd, int msg, In…

STM32笔记---RTC

目录 一、RTC简介 二、主要特性 三、功能描述 3.1 读RTC寄存器 3.2 配置RTC寄存器 四、BKP简介 五、RTC_Init() 1. 函数BKP_ReadBackupRegister 2.RCC_LSEConfig设置外部低速晶振&#xff08;LSE&#xff09; 3.RTC基本结构 5.RTC_Init()实现 6.time.h 一、R…

C 语言USB通信之使用 libusb库

在C语言中&#xff0c;要与USB接口通信&#xff0c;通常需要使用特定的库和API。以下是一些常见的库和API&#xff0c;用于在C语言中与USB接口通信&#xff1a; libusb&#xff1a;这是一个开源的USB库&#xff0c;提供了跨平台的USB设备访问功能。它提供了API&#xff0c;使您…

【Proteus仿真】【STM32单片机】智能垃圾桶设计

文章目录 一、功能简介二、软件设计三、实验现象联系作者 一、功能简介 本项目使用Proteus8仿真STM32单片机控制器&#xff0c;使用报警模块、LCD1602液晶模块、按键模块、人体红外传感器、HCSR04超声波、有害气体传感器、SG90舵机等。 主要功能&#xff1a; 系统运行后&…

Arduio开发STM32所面临的风险

据说micro_ros用到了arduino,然后用arduino搞stm32需要用到这个Arduino STM32的东西&#xff0c;然后这里申明了&#xff1a;这些代码没有经过严格测试&#xff0c;如果是向心脏起搏器&#xff0c;自动驾驶这样要求严格的的情况下&#xff0c;这个东西不能保证100%不发生问题&a…

使用 STM32 读取和解析 NTC 热敏电阻的数值

本文介绍了如何利用 STM32 微控制器读取和解析 NTC&#xff08;Negative Temperature Coefficient&#xff09;热敏电阻的数值。首先&#xff0c;我们将简要介绍 NTC 热敏电阻的原理和特性。接下来&#xff0c;我们将详细讨论如何设计电路连接和采用合适的 STM32 外设进行数值读…

stm32实现0.96oled图片显示,菜单功能

stm32实现0.96oled图片显示&#xff0c;菜单功能 功能展示简介代码介绍oled.coled.holedfont.h&#xff08;字库文件&#xff09;main函数 代码思路讲解 本期内容&#xff0c;我们将学习0.96寸oled的进阶使用&#xff0c;展示图片&#xff0c;实现菜单切换等功能&#xff0c;关…

FreeRTOS入门教程(任务通知)

文章目录 前言一、什么是任务通知二、任务通知和队列&#xff0c;信号量的区别三、任务通知的优点和缺点1.优点2.缺点 四、任务状态和通知值五、任务通知相关的函数发出通知取出通知 六、任务通知具体使用1.实现轻量级信号量二进制信号量计数型信号量 2.实现轻量级队列 总结 前…

【STM32】新建工程

学习来源&#xff1a;[2-2] 新建工程_哔哩哔哩_bilibili 目前STM32的开发主要有基于寄存器的开发方式、基于标准库也就是库函数的方式和基于HAL库的方式。本学习是基于库函数的方式。&#xff08;各种资料去百度云下载&#xff09; 1 建立工程文件夹 Keil中新建工程&#xf…

cJSON的使用——下载、打包与解析

目录 一、cJSON的下载 二、cJSON的常用函数 三、cJSON的打包例程 四、cJSON的解析例程1 五、cJSON的解析例程2 一、cJSON的下载 cjson下载 二、cJSON的常用函数 cJSON *cJSON_Parse(const char *value); 作用&#xff1a;将一个JSON数据包&#xff0c;按照cJSON结构体…

【云平台】STM32微信小程序阿里云平台汇总——持续更新

【云平台】STM32微信小程序阿里云平台汇总——持续更新 文章目录 前言总结 前言 提示&#xff1a;以下是本篇文章正文内容&#xff0c;下面案例可供参考 【云平台】STM32微信小程序阿里云平台学习板 【云平台】小白从零开始&#xff1a;小程序阿里云平台控制STM32&#xff08…

【STM32】GPIO输入

1 GPIO输出 1.1 按键简介 按键&#xff1a;常见的输入设备&#xff0c;按下导通&#xff0c;松手断开 按键抖动&#xff1a;由于按键内部使用的是机械式弹簧片来进行通断的&#xff0c;所以在按下和松手的瞬间会伴随有一连串的抖动 1.2 传感器模块简介 传感器模块&#xff…

STM32CubeIDE(CUBE-MX hal库)----串口通信

提示&#xff1a;文章写完后&#xff0c;目录可以自动生成&#xff0c;如何生成可参考右边的帮助文档 文章目录 前言一、CUBE-MX可视化配置二、发送数据和接收数据1.HAL库串口的阻塞模式和非阻塞模式2.HAL库串口阻塞模式数据发送函数3.HAL库串口阻塞模式数据接收函数4.HAL库串口…

STM32算法

1.通过编码器对返回的错误速度进行滤波 #define MOTOR_BUFF_CIRCLE_SIZE 4 #define STATIC_ENCODER_VALUE 6int32_t LMotor_Encoder_buff[MOTOR_BUFF_CIRCLE_SIZE] {0}; uint8_t LEindex 0; int32_t LMotor_Encoder_last 0; int32_t L_Encoder_change 0;int32_t RMotor_…

51单片机使用串口查看程序执行的数据

51单片机使用串口查看程序执行的数据 1.概述 这篇文章介绍利用串口输出程序执行的数据&#xff0c;辅助我们调试程序&#xff0c;提高代码定位问题的效率。 2.硬件电路原理 3.串口助手查看程序数据 输出串口数据的方式分为CPU查询方式和中断方式。他们各有优缺点&#xff0…

033 - STM32学习笔记 - TIM定时器(一) - 高级定时器

033 - STM32学习笔记 - TIM定时器&#xff08;一&#xff09; - 高级定时器 上节内容学习了基本定时器&#xff0c;其功能比较简单&#xff0c;配置和使用也比较容易&#xff0c;今天在基本定时器的基础上学习一下高级控制定时器的内容。 在F429上一共有两个高级控制定时器和1…

【STM32】STM32学习笔记-DMA数据转运+AD多通道(24)

00. 目录 文章目录 00. 目录01. DMA简介02. DMA相关API2.1 DMA_Init2.2 DMA_InitTypeDef2.3 DMA_Cmd2.4 DMA_SetCurrDataCounter2.5 DMA_GetFlagStatus2.6 DMA_ClearFlag 03. DMA数据单通道接线图04. DMA数据单通道示例05. DMA数据多通道接线图06. DMA数据多通道示例一07. DMA数…

以STM32为例,实现按键的短按和长按

以STM32为例&#xff0c;实现按键的短按和长按 目录 以STM32为例&#xff0c;实现按键的短按和长按1 实现原理2 实现代码3 测试结束语 1 实现原理 简单来说就是通过设置一个定时器来定时扫描几个按键的状态&#xff0c;并分别记录按键按下的持续时间&#xff0c;通过时间的长短…

普中STM32-PZ6806L开发板(HAL库函数实现-访问多个温度传感器DS18B20)

简介 我们知道多个DS18B20的DQ线是可以被挂在一起的, 也就是一根线上可以访问不同的DS18B20而不会造成数据错乱, 怎么做到的&#xff0c;其实数据手册都有说到&#xff0c; 就是靠64-bit ROM code 进行识别, 也可以理解成Serial Number进行识别, 因为主要差异还是在Serial Numb…

关于HAL库外部中断的开关流程

通过HAL库配置好外部中断后&#xff0c;会生成如下代码&#xff1a; static void MX_GPIO_Init(void) {GPIO_InitTypeDef GPIO_InitStruct {0}; /* USER CODE BEGIN MX_GPIO_Init_1 */ /* USER CODE END MX_GPIO_Init_1 *//* GPIO Ports Clock Enable */__HAL_RCC_GPIOD_CLK_…

STM32 实现姿态解算及 MPU6050 相关应用

在STM32上实现姿态解算以及与MPU6050相关的应用&#xff0c;通常涉及使用陀螺仪和加速度计获取姿态数据&#xff0c;并结合姿态解算算法来实现姿态估计。在本文中&#xff0c;我们将讨论如何在STM32上通过MPU6050获取姿态数据&#xff0c;并简要介绍姿态解算算法&#xff0c;并…

STM32 基础知识(探索者开发板)--146讲 IIC

IIC特点&#xff1a; 同步串行半双工通信总线 IIC有一个弱上拉电阻&#xff0c;在主机和从机都没有传输数据下拉时&#xff0c;总线会自动上拉 SCL在低电平期间&#xff0c;改变SDA的值来上传数据&#xff0c;方便SCL电平上升时进行数据读取 SCL在高电平期间&#xff0c;不能…

RT-Thread: 基于STM32CubeMX配置驱STM32驱动的USB虚拟串口调试

关键词&#xff1a;USB 虚拟串口 USB虚拟串口&#xff0c;RT-Thread Studio&#xff0c;STM32 说明&#xff1a; 1&#xff1a;文档记录 STM32F103系列基于 RT-Thread 系统的 USB虚拟串口的开启及数据收发应用流程介绍。 2&#xff1a;本文以STM32F103C8T6型号做测试&#x…

江科大-stm32-B站系统初识笔记P2

文章目录 一&#xff1a;ARM是什么二&#xff1a;关键字介绍 推荐视频&#xff1a;《 STM32入门教程-2023版 细致讲解 中文字幕》 一&#xff1a;ARM是什么 ①&#xff1a; ST – 意法半导体 M – Microelectronics 微电子 32 – 总线宽度 ARM架构: Cortex-A:Application 应用…

USART通讯

提示&#xff1a;文章 文章目录 前言一、背景二、 2.1 2.2 总结 前言 前期疑问&#xff1a; 1、一开始没有搞明白到底是USART还是UART。 2、其中还涉及到一个同步的概念。同步就是是否有时钟线同步。USART是串口同步异步发送接收器。USART没有时钟线是怎么实现同步的。 3、…

STM32入门教程-2023版【3-3】gpio输入

关注 星标公众号 不错过精彩内容 大家好&#xff0c;我是硬核王同学&#xff0c;最近在做免费的嵌入式知识分享&#xff0c;帮助对嵌入式感兴趣的同学学习嵌入式、做项目、找工作! 上两小节我们已经把GPIO的结构和8种输入输出模式都讲完了&#xff0c;到这里还不懂的可以回…

GD32F103*固件库移植FreeRTOS详细教程与解析

GD32F103*固件库移植FreeRTOS详细教程与解析 GD32F103*移植μCOS-Ⅲ详细教程与解析&#xff0c;欢迎指正 文章目录 GD32F103*固件库移植FreeRTOS详细教程与解析前言一、移植前的准备二、移植步骤1.文件结构2.添加代码3.编译与配置 三、注意事项总结 前言 FreeRTOS是一个可以基…

STM32单片机项目实例:基于TouchGFX的智能手表设计(2)UI交互逻辑的设计

STM32单片机项目实例&#xff1a;基于TouchGFX的智能手表设计&#xff08;2&#xff09;UI交互逻辑的设计 目录 一、UI交互逻辑的设计 1.1 硬件平台的资源 1.2 界面切换功能 ​​​​​​​1.3 表盘界面 1.4 运动界面 ​​​​​​​1.6 设置界面 ​​​​​​​1.7 应…

MATLAB Simulink +STM32硬件在环 (HIL)实现例程测试

MATLAB Simulink STM32硬件在环 &#xff08;HIL&#xff09;实现例程测试 &#x1f4cd;相关篇《STM32CubeMxMATLAB Simulink点灯程序》✨本例程没有使用到STM32CubeMX来创建工程&#xff08;在Simulink 中不是选择的STM32xxxbased类型的&#xff09;。 &#x1f516;STM32xxx…

STM32CubeIDE的stm32f4xx_hal_conf.h配置文件的一点功能解释

STM32CubeIDE的程序功能写多了&#xff0c;一般不愿意再次使用.ioc这个配置工程的底层了&#xff0c;因为有部分程序是直接改配置的&#xff0c;一旦再次生成就会冲掉自己改的部分&#xff0c;因为不能自己定义 /* USER CODE BEGIN 1 *//* USER CODE END 1 */这样的不被重新生成…

STM32——震动传感器点亮LED灯

震动传感器简单介绍 若产品不震动&#xff0c;模块上的 DO 口输出高电平&#xff1b; 若产品震动&#xff0c;模块上的 DO 口输出低电平&#xff0c;D0-LED绿色指示灯亮。 震动传感器与STM32的接线 编程实现 需求&#xff1a;当震动传感器接收到震动信号时&#xff0c;使用中断…

STM32F407-14.3.9-01输出比较模式

输出比较模式 此功能用于控制输出波形&#xff0c;或指示已经过某一时间段。 当捕获/比较寄存器与计数器之间相匹配时&#xff0c;输出比较功能&#xff1a; ● 将为相应的输出引脚分配一个可编程值&#xff0c;该值由输出比较模式&#xff08;TIMx_CCMRx 寄存器中的 OCxM⑦…

STM32-GPIO编程

一、GPIO 1.1 基本概念 GPIO&#xff08;General-purpose input/output&#xff09;通用输入输出接口 --GP 通用 --I input输入 --o output输出 通用输入输出接口GPIO是嵌入式系统、单片机开发过程中最常用的接口&#xff0c;用户可以通过编程灵活的对接口进行控制&#xff0c;…

STM32 定时器TIM

单片机学习 目录 文章目录 前言 一、TIM简介 二、STM32的三种定时器 2.1基本定时器 2.1.1定时中断功能 1. 时钟源 2. 预分频器 3. 计数器 4. 自动重装寄存器 5.更新中断和更新事件 2.1.2主模式触发DAC功能 2.2 计数模式 2.2通用定时器 2.2.1 时钟源 外部时钟模式2 外部时钟模式…

【【萌新的STM32学习-16中断的基本介绍1】】

萌新的STM32学习-16中断的基本介绍1 中断 什么是中断 中断是打断CPU执行正常的程序&#xff0c;转而处理紧急程序&#xff0c;然后返回原暂停的程序继续执行&#xff0c;就叫中断 中断的作用 实时控制 &#xff1a; 就像对温度进行控制 故障控制 &#xff1a; 第一时间对突发情…

基于STM32 + DMA介绍,应用和步骤详解(ADC多通道)

前言 本篇博客主要学习了解DMA的工作原理和部分寄存器解析&#xff0c;针对ADC多通道来对代码部分&#xff0c;应用部分作详细讲解&#xff0c;掌握代码编程原理。本篇博客大部分是自己收集和整理&#xff0c;如有侵权请联系我删除。 本次博客开发板使用的是正点原子精英版&am…

STM32的几个深入功能

STM32的几个深入功能 目录 1、时钟源2、锁相环3、备份SRAM4、low power mode5、DMA Flash RAM6、复位类型7、CMSIS8、STM32F4学习方法9、中断10、8080 并行接口11、FSMC12、ADC13、IIC14、SPI15、48516、CAN17、MPU6050六轴传感器18、NRF24L01 2.4G无线模块19、FLASH20、外部SR…

【STM32】TIM定时器基本定时功能

第一部分&#xff1a;定时器基本定时的功能&#xff1b; 第二部分&#xff1a;定时器的输出比较功能&#xff1b; 第三部分&#xff1a;定时器输入捕获的功能&#xff1b; 第四部分&#xff1a;定时器的编码接口。 1 TIM简介 TIM&#xff08;Timer&#xff09;定时器&#…

基于STM32F103的OV7670摄像头驱动程序设计与优化

基于STM32F103的OV7670摄像头驱动程序设计和优化是一个常见且有挑战性的嵌入式系统设计项目。在本文中&#xff0c;我们将介绍如何利用STM32F103微控制器和OV7670摄像头实现驱动程序&#xff0c;并提供相应的代码示例。 1. 系统概述 我们的目标是通过驱动OV7670摄像头来获取图…

2015年电赛控制类—STM32风力摆控制系统资料+源程序

目录 一、项目背景 二、主要研究内容 三、总体思路与研究方案 四、主要研究结果 五、程序 六、图片 一、项目背景 风力摆控制系统是一种利用风力控制物体做简谐运动的系统&#xff0c;风力的利用和控制技术在我国的发展尚未完善&#xff0c;国内正处于起步阶段。风力摆的…

艾思控AQMD6008BLS-TE无刷电机驱动使用笔记(配合STM32)

一、介绍 本驱动器使用的电机电流精确检测技术、有感无刷电机自测速、有感无刷电机转动位置检测、再生电流恒电流制动&#xff08;或称刹车&#xff09;技术和强大的PID调节技术可地控制电机平稳正反转、换向及制动&#xff0c;输出电流实时调控防止过流&#xff0c;精准控制电…

STM32F4 ADC DMA(Direct memory access)

参考文章 STM32 ADC转换DMA传输&#xff08;详解&#xff09; https://blog.csdn.net/sherlock_cp/article/details/128308375?spm1001.2101.3001.6650.1&utm_mediumdistribute.pc_relevant.none-task-blog-2%7Edefault%7EBlogCommendFromBaidu%7ERate-1-128308375-blog-1…

STM32(HAL库) CubeMX+Keil5 建立工程

STM32&#xff08;HAL库&#xff09; CubeMXKeil5 建立工程 目标选择 菜单栏 File 新建工程打开工程退出软件 Window 输出窗口的开启软件字体设置 Help 软件帮助文档检查软件更新管理MCU 已存在工程&#xff08;Existing Projects&#xff09; 最近打开过的工程(Recent Open…

基于OpenMV与STM32的数据通信项目(代码开源)

前言&#xff1a;本文为手把手教学 OpenMV 与 STM32 的数据通信项目教程&#xff0c;本教程使用 STM32F103C8T6 与 OpenMV 进行操作。 OpenMV 是非常强大的计算机视觉实现工具&#xff0c;自身提供了非常多的视觉项目案例&#xff0c;编程与使用门槛极低。为了进一步增强作品的…

八、Stm32学习-USART-中断与接收数据包

1.通信接口 全双工就是数据的收和发可以同时进行&#xff1b;半双工就是数据的收和发不能同时进行。 异步时钟是设备双方需要约定对应的波特率&#xff1b;同步时钟是设备双方有一根时钟线&#xff0c;发送或接收数据是根据这根时钟线来的。 单端电平是需要共GND&#xff1b;…

【STM32】STM32学习笔记-串口发送和接收(27)

00. 目录 文章目录 00. 目录01. 串口简介02. 串口相关API2.1 USART_Init2.2 USART_InitTypeDef2.3 USART_Cmd2.4 USART_SendData2.5 USART_ReceiveData 03. 串口发送接线图04. USB转串口模块05. 串口发送程序示例06. 串口发送支持printf07. 串口发送支持printf_v208.09.10. 01.…

STM32F103C8T6(HAL库函数 - 内部Flash操作)

简介 STM32F103C8T6 内部Flash 为 64KB&#xff0c;本次将对他多余空间进行读写。 介绍 数据手册下载 STM32F103x8/STM32F103xB 数据手册 包含Flash Memory Page分布 STM32F设备命名 设备容量类型 中容量类型 内部空间介绍 64 KBytes大小Flash Memory 从 0x0800 0000 ~…

STM32蓝牙小车、红外循迹小车、超声波避障小车项目设计

一、前言 本文旨在分享我学习STM32的过程中&#xff0c;为了强化学习成果&#xff0c;试着制作一些实训项目。最开始做的就是STM32蓝牙小车、STM32红外循迹小车、STM32超声波避障小车。 相信看完本文的你&#xff0c;一定可以亲手制作一辆属于自己的智能小车&#xff01; 注&am…

一些硬件知识(三)

uint8_t, uint32_t, 和 uint16_t 是 C 和 C 语言中的数据类型&#xff0c;它们分别表示无符号的 8 位、32 位和 16 位整数。这些数据类型定义在标准库 <stdint.h>&#xff08;在 C 语言中&#xff09;或 <cstdint>&#xff08;在 C 中&#xff09;。 uint8_t&…

两轮平衡小车制作保姆式教程(2-2)——软件模块:直流编码器电机的使用

✅作者简介&#xff1a;大家好我是&#xff1a;麦克斯科技&#xff0c;希望一起努力&#xff0c;一起进步&#xff01; &#x1f4c3;个人主页&#xff1a;麦克斯科技 &#x1f525;系列专栏&#xff1a;两轮平衡小车制作保姆式教程 &#x1f3f7;️非常欢迎大家在评论区留言交…

嵌入式——直接存储器存取(DMA)

目录 一、初识DMA 1.简介 2.主要特性整理 3.DMA处理过程 二、DMA结构组成 1.DMA结构框图 2.相关寄存器 &#xff08;1&#xff09;DMA通道x配置寄存器&#xff08;DMA_CCRx x 1...7&#xff09; &#xff08;2&#xff09;中断状态寄存器&#xff08;DMA_ISR&#xf…

STM32MP157/linux驱动学习记录

1. uboot烧录 2.linux安装nfs服务 sudo apt-get install nfs-kernel-server rpcbind安装nfs服务 在用户根目录下创建一个名为“linux”的文件夹&#xff0c;以后所有的东西都放到这个“linux”文件夹里面&#xff0c;在“linux”文件夹里面新建一个名为“nfs”的文件夹&#…

高速CAN总线 C 或 B 节点发送 A节点接收 电压分析

CAN收发器放大图 CAN总线3节点框图&#xff0c;如下图 图① CAN总线 C 节点发送&#xff0c;B 节点接收简化后的逻辑框图如下图。 总线上 A B C 三个节点&#xff0c;按照CAN总线规定&#xff0c;首尾 A B 两个节点处需要接入1个120Ω的终端电阻&#xff0c;如下图&#xff1a…

STM32CubeMX配置定时器输入捕获功能

STM32CubeMX配置定时器输入捕获功能 0.前言一、方法简介二、STM32CubeMX配置1.生成PWM信号2.配置TIM3_CH1进行采样3.占空比计算 三、总结 参考文章&#xff1a;CubeMX系列教程——11 定时器输入捕获 0.前言 最近在学习江科大STM32教程的原理部分时&#xff0c;发现该教程中使用…

STM32 Hal库FreeRtos查看所有任务的内存栈信息使用情况剩余空间 仅需一个函数搞定 超简单

STM32F103 基于Hal库跑FreeRtos&#xff0c;查看所有任务的栈的信息&#xff0c;包括任务名&#xff0c;任务状态&#xff0c;任务优先级&#xff0c;空闲栈&#xff0c;任务号。 运行结果如下 只需要使用一个函数vTaskList &#xff1a;获得任务的统计信息&#xff0c;形式为…

HAL库配置FreeRTOS

1、CMSIS_V1和CMSIS_V2版本主要的区别在于&#xff0c;CMSIS_V2兼容支持的硬件更多&#xff0c;内存耗费更多&#xff1b;对于常见的Cortex-M3、M4、M7芯片&#xff0c;选择V1即可。 2、创建任务 Task and Quenes&#xff1a; 任务与队列的创建。Timers and Semaphores&#x…

C(C++)和Python实现STM32F4实时操作系统(FreeRTOS)吸尘器

Arm Cortex M 微控制器 STM32F4 通过 FreeRTOS 和 Mbed OS 实现的实时操作系统&#xff0c;使用了 C 语言&#xff08;微控制器的“编程”语言&#xff09;、C语言和 MicroPython&#xff08;允许在微控制器上使用 Python 语言&#xff09;数字控制吸尘器。 STM32F4微控制器 …

STM32标准库开发——PWM驱动代码

PWM驱动初始化代码 使能定时器二时钟 RCC_APB1PeriphClockCmd(RCC_APB1Periph_TIM2,ENABLE);设置定时器时钟源 TIM_InternalClockConfig(TIM2);配置定时器二的时基单元 TIM_TimeBaseInitTypeDef TIM_TimeBaseInitStruct; TIM_TimeBaseInitStruct.TIM_ClockDivisionTIM_CKD_D…

stm32中的SPI

SPI的简介 文章目录 SPI的简介物理层协议层基本通讯过程起始和终止信号数据有效性CPOL/CPHA及通讯模式 STM3的SPI特性及架构通讯引脚时钟控制逻辑数据控制逻辑整体控制逻辑通讯过程 代码配置实现结构体的定义SPI时钟信号的定义SPI端口定义SPI命令 flash驱动代码初始化代码(配置…

杰理方案——WIFI连接物联网配置阿里云操作步骤

demo——DevKitBoard 注意&#xff1a;最好用这个Demo,其它Demo可能会有莫名其妙的错误问题。 wifi配置 需要在app_config.h文件中定义USE_DEMO_WIFI_TEST&#xff0c;工程会在wifi_demo_task.c文件中自动启动wifi相关的任务&#xff0c; 我们将工程配置为连接外部网络STA模式…

STM32CubeIDE串口空闲中断实现不定长数据接收

STM32F051空闲中断实现串口不定长数据接收 目的编程软件配置串口开中断中断程序运行结果目的 在串口输入不定长数据时,通过串口空闲中断来断帧接收数据。 编程软件 STM32CubeIDE STM32CubeMX配置MCU。通过对端口配置,自动生成程序,减少编程量。 配置串口开中断 配置串口…

LVGL_V8.3入门二---实时时钟(模仿华为watch-UI)

系列文章目录 文章目录 系列文章目录前言一、实现效果二、代码解析 前言 在这个博客中&#xff0c;我们将深入探讨LVGL&#xff08;Light and Versatile Graphics Library&#xff09;版本8.3的实时时钟应用&#xff0c;以模仿华为 Watch UI 为例。LVGL是一款专为嵌入式系统和…

CAN 二: STM32 CAN控制器

1、CAN控制器介绍 (1)STM32 CAN控制器(bxCAN)&#xff0c;支持CAN 2.0A和CAN 2.0B Active版本协议。 bxCAN存在于F1、F4、F7系列的STM32。H7系列称作FDCAN。 (2)CAN 2.0A 只能处理标准数据帧且扩展帧的内容会识别错别&#xff0c;而CAN 2.0B Active可以处理标准数据帧和扩展…

STM32 标准外设SPL库、硬件抽象层HAL库、低层LL库区别?

1、STM32 之一 HAL库、标准外设库、LL库_ZCShou的博客-CSDN博客_ll库&#xff08;仔细阅读&#xff09; 2、STM32标准外设库、 HAL库、LL库 - King先生 - 博客园 3、STM32 之 HAL库_戈 扬的博客&#xff08;仔细阅读&#xff09; 4、STM32 LL 为什么比 HAL 高效&#xff1…

【STM32】ADC模数转换器

1 ADC简介 ADC&#xff08;Analog-Digital Converter&#xff09;模拟-数字转换器 ADC可以将引脚上连续变化的模拟电压转换为内存中存储的数字变量&#xff0c;建立模拟电路到数字电路的桥梁 STM32是数字电路&#xff0c;只有高低电平&#xff0c;没有几V电压的概念&#xff…

设计一个在裸机下使用的简单软件定时器(1):框架+数据结构分析

0 前言 在RTOS中&#xff0c;我们经常用到软件定时器来为我们处理一些对于实时性要求不高的定时任务。在裸机开发中&#xff0c;我们可能也有很多需要定时执行的任务&#xff0c;为了优雅地执行这些定时任务&#xff0c;本文设计一个在裸机下使用的简单软件定时器&#xff0c;…

STM32---MDK工程创建

本节我们带领大家学习如何新建一个寄存器库版本MDK的详细步骤&#xff1b; 由于51单片机的学习时&#xff0c;所涉及的寄存器很少&#xff0c;所以往往几个头文件、驱动文件就可以完成相关的功能&#xff0c;但是对于STM32来讲&#xff0c;涉及的寄存器、头文件等都很多&#…

(UM1724) STM32 Nucleo-64 boards User manual

STM32 Nucleo-64 评估板用户手册 0. 前言1. 介绍[^1]2. Ordering information2.1 包装编号说明3. 开发环境4. 跳线端子 ON/OFF 的约定5. 快速入门6. 硬件布局与配置6.10 扩展连接器6.11 ARDUINO 连接器6.12 ST morpho 连接器7. Nucleo-64 评估板信息0. 前言 【相关博文】 【

RS®FSW 信号与频谱分析仪

R&SFSW 信号与频谱分析仪 简述&#xff1a; R&SFSW 信号与频谱分析仪高性能 R&SFSW 信号与频谱分析仪可用于完成严苛任务。它具备较高的内部分析带宽&#xff0c;可对宽带组件和通信系统进行特征校准。分析仪具备出色的相位噪声&#xff0c;有助于开发适用于雷达…

安卓与串口通信-如何区分连接的设备?

前言与背景 一般来说&#xff0c;不管是在什么平台上需要与外接硬件交互&#xff0c;第一件事都是应该能够正确的识别出目标硬件。 例如在 Windows 上&#xff0c;当一个新的外设设备被插入到我们的电脑时&#xff0c;系统会通过 Hardware IDs 、Compatible IDs 来确定连接的…

【STM32F103】GPIO通用输入输出口

GPIO 简介 GPIO&#xff08;General Purpose Input Output&#xff09;通用输入输出口是微控制器&#xff08;MCU&#xff09;必备的片上外设&#xff0c;可以实现微控制器与外部设备的数字交换。 STM32F103系列的芯片最多可以提供112个多功能双向IO引脚&#xff0c;但是显然…

【江科大--32课程中讲解到的外部设备】

一、传感器模块&#xff08;GPIO模块&#xff09; 1.基本介绍 传感器模块&#xff1a;传感器元件&#xff08;光敏电阻/热敏电阻/红外接收管等&#xff09;的电阻会随外界模拟量的变化而变化&#xff0c;通过与定值电阻分压即可得到模拟电压输出&#xff0c;再通过电压比较器进…

【STM32】STM32学习笔记-FlyMCU串口下载和STLINK Utility(30)

00. 目录 文章目录 00. 目录01. 串口简介02. 串口连接电路图03. FlyMCU软件下载程序04. 串口下载原理05. FlyMCU软件其它操作06. STLINK Utility软件07. 软件下载08. 附录 01. 串口简介 串口通讯(Serial Communication)是一种设备间非常常用的串行通讯方式&#xff0c;因为它简…

基于STM32微控制器的四轮智能小车控制系统设计

标题&#xff1a;基于STM32微控制器的四轮智能小车控制系统设计与实现 摘要&#xff1a; 本文针对移动机器人领域的应用需求&#xff0c;详细介绍了基于STM32系列单片机&#xff08;以STM32F103C8T6为例&#xff09;为核心的四轮小车控制系统的设计和实现过程。该系统集成了电…

RT-Thread: eeprom存储芯片 at24cxx软件包使用流程

说明&#xff1a;介绍 i2c 通讯接口的 eeprom at24cxx 读写测、试代码&#xff0c;代码基于 at24cxx 软件包实现。 使用步骤&#xff1a; * 1&#xff1a;在 RT-Thread Settings 中开启 【软件模拟I2C】 * 2&#xff1a;在 RT-Thread Settings 软件包中搜索 at24cxx 添加软件…

STM32F103标准外设库——认识STM32(一)

个人名片&#xff1a; &#x1f981;作者简介&#xff1a;一名喜欢分享和记录学习的在校大学生 &#x1f42f;个人主页&#xff1a;妄北y &#x1f427;个人QQ&#xff1a;2061314755 &#x1f43b;个人邮箱&#xff1a;2061314755qq.com &#x1f989;个人WeChat&#xff1a;V…

STM32F103标准外设库——寄存器 (二)

个人名片&#xff1a; &#x1f981;作者简介&#xff1a;一名喜欢分享和记录学习的在校大学生 &#x1f42f;个人主页&#xff1a;妄北y &#x1f427;个人QQ&#xff1a;2061314755 &#x1f43b;个人邮箱&#xff1a;2061314755qq.com &#x1f989;个人WeChat&#xff1a;V…

STM32--7针0.96寸OLED屏幕显示(4线SPI)

本文介绍基于STM32F103C8T60.96寸OLED&#xff08;7针&#xff09;的显示&#xff08;完整程序代码见文末链接&#xff09; 一、简介 OLED&#xff0c;即有机发光二极管&#xff08; Organic Light Emitting Diode&#xff09;。 OLED 由于同时具备自发光&#xff0c;不需背光…

【模块系列】STM32BMP280

前言 最进想练习下I2C的应用&#xff0c;手上好有BMP280也没用过&#xff0c;就看着机翻手册和原版手册&#xff0c;开始嘎嘎写库函数了。库的命名应该还1是比较规范了吧&#xff0c;就是手册对于最终值的计算方式很迷糊&#xff0c;所以现在也不能保证有可靠性啊&#xff0c;大…

STM32——OLED实验

1.OLED简介 OLED&#xff0c;即有机发光二极管 OLED引脚说明 引脚说明&#xff1a; 1、CS&#xff1a;OLED片选信号&#xff08;低电平有效&#xff09; 2、WR&#xff1a;向OLED写入数据 3、RD&#xff1a;向OLED读取数据 4、D[7:0]&#xff1a;8位双向数据线&#xff0c;有…

【RTOS】快速体验FreeRTOS所有常用API(10)资源管理

目录 十、资源管理10.1 基本概念10.2 关任务调度器10.3 关中断10.4 实例 十、资源管理 该部分在上份代码基础上修改得来&#xff0c;代码下载链接&#xff1a; https://wwzr.lanzout.com/iqBYJ1lauxof 密码:9adb 该代码尽量做到最简&#xff0c;不添加多余的、不规范的代码。 内…

正点原子--STM32定时器学习笔记(1)(更新中....)

F1系列基本定时器&#xff08;TIM6 / TIM7&#xff09; 我们的目标是通过TIM6基本定时器定时500ms&#xff0c;让LED0每隔500ms闪一下&#xff01; 思路&#xff1a;使用定时器6&#xff0c;实现500ms产生一次定时器更新中断&#xff0c;在中断里执行“翻转LED0”。 定时器什…

快速了解STM32的ADC功能,从入门到精通

快速了解STM32的ADC功能&#xff0c;从入门到精通 快速了解STM32的ADC功能&#xff0c;从入门到精通 1.关于ADC输入通道与GPIO口的输入关系需要查看STM32芯片手册&#xff08;p31&#xff09;而非数据手册。 2.外部触发源指的是触发ADC采样的触发信号&#xff0c;这与1的GPIO…

保姆级ESP-IDF开发环境搭建

1. 手动安装工具链&#xff0c;命令行方式&#xff08;windows&#xff09; 1.1 下载离线安装器 进入乐鑫 ESP-IDF Windows Installer Download 下载页面&#xff0c;选择离线版本工具&#xff08;网络原因&#xff0c;安装过程中使用github下载会出问题&#xff09;。 1.2 使…

32单片机RTC时间接续,掉电时间保存

1、实现思路 前提&#xff1a;首先要实现RTC掉电之后时间还能继续走&#xff0c;RTC电池是必要的 说明&#xff1a;设备第一次启动需要初始化配置RTC&#xff0c;但当二次启动再重新配置RTC会导致RTC计数器置零&#xff0c;所以传统的程序流程是不行的&#xff0c;我们需要知…

STM32F103标准外设库——GPIO 输入、输出 (五)

个人名片&#xff1a; &#x1f981;作者简介&#xff1a;一名喜欢分享和记录学习的在校大学生 &#x1f42f;个人主页&#xff1a;妄北y &#x1f427;个人QQ&#xff1a;2061314755 &#x1f43b;个人邮箱&#xff1a;2061314755qq.com &#x1f989;个人WeChat&#xff1a;V…

DWM1000 中断与STM32外部中断

DWM1000 中断与STM32外部中断 概述 DWM1000 本身有很多中断控制&#xff0c;例如发送完成中断&#xff0c;接收完成中断等等&#xff0c; 可以通过IRQ(GPIO8) 送到外部控制器。 DWM1000 IRQ pin可以挂到STM32 的外部中断上。 例如当接收到数据帧后&#xff0c;DWM1000 拉IRQ&…

stm32 - GPIO高级用法

stm32 - GPIO高级用法 PWMPWM / LEDPWM / 电机 PWM PWM / LED PWM波通过改变占空比可以改变LED的亮度 PWM信号调节LED亮度时&#xff0c;信号频率保持不变&#xff0c;即一个周期时间不变&#xff0c;改变的是脉冲的高电平的时间&#xff0c;即LED的导通时间&#xff0c;占空比…

STM32 定时器输入捕获2——捕获高电平时长

由上图我们可以知道&#xff0c;高电平时间t2-t1。在代码中&#xff0c;可以记录此时t1的时间然后再记录t2的时间&#xff0c;t2-t1&#xff0c;就是我们所想要的答案。 但是&#xff0c;还有更简单一点点的&#xff0c;当到达t1的时候&#xff0c;我们把定时器清零&#xff0c…

【stm32】hal库学习笔记-GPIO按键控制LED和蜂鸣器(超详细!)

【stm32】hal库学习笔记-GPIO按键控制LED和蜂鸣器 注&#xff1a;本学习笔记基于stm32f4系列 使用的开发板为正点原子stmf407ZGT6探索者开发板 GPIO引脚使用时&#xff0c;可输入或输出数字信号 例如: 检测按键输入信号&#xff08;Read_Pin&#xff09;输出信号&#xff08;W…

Keil下载芯片包(DFP)时找不到根目录的解决办法

目录 1 发现的问题 2 想到的可能解决问题的措施 1 发现的问题 打开Keil时Pack Installer 自动打开下载芯片包&#xff0c;但弹出如下提示&#xff0c;无法下载&#xff1a; Refresh Pack description E: the specified CMsls Pack Root directorydoes NoT exist! Please tak…

Cortex-M3/M4内核NVIC及HAL库函数详解(4):使用HAL库配置外部中断

0 工具准备 Keil uVision5 Cortex M3权威指南&#xff08;中文&#xff09; Cortex M3与M4权威指南 stm32f407的HAL库工程 STM32F4xx中文参考手册 1 使用HAL库配置外部中断 前面我们已经熟悉了有关内核部分的寄存器配置&#xff0c;接下来我们结合stm32f407的GPIO外设&#xf…

STM32系统架构

Cortex-M内核&芯片 Cortex-M内核以及调试系统由ARM公司设计&#xff0c;并授权给芯片制造厂商。 由芯片制造商设计开发芯片。 MCU厂商&#xff0c;经ARM公司授权&#xff0c;添加不同的外设各种xx32芯片 F1系统架构 4个主动单元4个被动单元 Cortex-M3内核 DCode总线&…

STM32与FPGA实现以太网功能--ping

方案&#xff1a; ①stm32与88E6320的一个RMII接口连接&#xff0c;实现网管功能。 ②FPGA与88E6320的另一个RMII接口连接&#xff0c;使用UDP实现业务数据传输。 ③stm32与FPGA中MAC地址不同&#xff0c;但是IP使用相同 结果&#xff1a; 1、在局域网点对点通信正常。 2…

基于STM32的智慧农业项目(物联网专业毕设)附送源码和文档材料+学习路线

文章目录 概要整体架构流程硬件选型软件总体框架技术细节实现效果小结 概要 传统农业存在着产量受到环境因素影响较大的问题&#xff0c;现有的农业监测系统数据太过简单、太过理想化。而随着现代科学的持续发展,一个精准化、自动化的现代智能农产品管理系统将在农业生产中起着…

蓝桥杯物联网竞赛_STM32L071_10_温度传感器扩展模块

原理图&#xff1a; 温度传感器原理图&#xff1a; 其中芯片可以通过SCL和SDA引脚通过I2C通信向温度传感器指定地址获取温度的模拟量 再利用公式将模拟量转换成相应温度即可 实验板接口原理图&#xff1a; 模拟量转相应温度公式&#xff1a; CubMx配置&#xff1a; Keil配置&…

FreeRTOS-软件定时器

软件定时器 在FreeRTOS中可以设置无数个软件定时器&#xff0c;都是基于系统滴答中断。 使用软件定时器需要指定时间&#xff1a;启动定时器和运行回调函数。启动定时器和运行回调函数的间隔为定时器的周期。 使用软件定时器需要指定类型&#xff1a;一次性&#xff08;回调函数…

手把手教你做基于stm32的红外、语音、按键智能灯光控制(上)

目录&#xff1a; 1.系统实现目标2.硬件选型和软件准备2.1. 硬件选型2.2 软件准备 3. 硬件IO表4.各个模块的驱动函数4.1. 红外遥控模块4.2. 按键模块4.3. LED灯4.4. BH1750光照度传感器4.5. 红外检测模块 1.系统实现目标 本文所设计的基于单片机的灯光控制系统主要由模式选择功…

STM32基本定时器

实践内容&#xff1a;将定时器作为中断源来控制LED灯的亮灭。 定时器工作原理 如图&#xff1a; 定时器分类 类型实现功能基本定时器TIM6 、TIM7常⽤作定时、中断、ADC转换通⽤定时器TIM2-TIM5、TIM9-TIM14具有多路独⽴通道&#xff0c;可用于输⼊捕获、输出⽐ 较&#xff0…

Linux设备与STM32 USB串口通讯

保证STM32 USB串口虚拟成功。 lsusb 如果STM32单片机通过USB连接到计算机&#xff0c;你应该能够在输出中看到类似以下的行&#xff1a; Bus XXX Device YYY: ID 0483:5740 STMicroelectronics 查看USB更多信息 sudo dmesg | grep tty 增加了下面类似信息&#xff1a; c…

用单片机控制步进电机的程序

结合按键程序&#xff0c;我们设计这样一个功能程序&#xff1a;按数字键 1&#xff5e;9&#xff0c;控制电机转过 1&#xff5e;9 圈&#xff1b;配合上下键改变转动方向&#xff0c;按向上键后正向转 1&#xff5e;9 圈&#xff0c;向下键则反向转 1&#xff5e;9 圈&#x…

【TB作品】STM32 PWM之实现呼吸灯,STM32F103RCT6,晨启

文章目录 完整工程参考资料实验过程 实验任务&#xff1a; 1&#xff1a;实现PWM呼吸灯&#xff0c;定时器产生PWM&#xff0c;控制实验板上的LED灯亮灭&#xff1b; 2&#xff1a;通过任意两个按键切换PWM呼吸灯输出到两个不同的LED灯&#xff0c;实现亮灭效果&#xff1b; 3&…

STM32_通过Ymodem协议进行蓝牙OTA升级固件教程

目录标题 前言1、OTA升级的重要性和应用场景2、理论基础2.1、单片机的启动流程2.2、什么是IAP&#xff1f;2.3、什么是OTA&#xff1f;2.4、什么是BootLoader&#xff1f;2.5、Ymodem协议是什么&#xff1f;2.6、IAP是如何实现的&#xff1f; 3、具体操作3.1、软硬件工具准备3.…

51单片机基于时间片轮转的简单rtos

早就想写写这个了&#xff0c;正好赶上有点时间&#xff0c;写了一下基于51单片机的时间片轮转调度系统&#xff0c;简单的rtos&#xff0c;呵呵。直接上代码。 //基于51单片机时间片轮转的简单rtos。 #include"reg52.h" sbit led1 P2^7; sbit led2 P2^0; sbit key…

STM32单片机项目实例:基于TouchGFX的智能手表设计(6)Designer软件UI设计

STM32单片机项目实例&#xff1a;基于TouchGFX的智能手表设计&#xff08;6&#xff09;Designer软件UI设计 目录 一、概述 二、Designer软件的使用 一、概述 通过STM32CubeMX进行工程的创建、外设配置以及外设驱动代码的添加&#xff0c;可参考《基于TouchGFX的智能手表设计…

迪文屏开发保姆级教程—弹出键盘录入ASCII字符

本篇文章主要介绍了在DGBUS平台上使用文本录入键盘录入ASCII字符&#xff0c;数字和字母的教程。 文本录入键盘支持录入ASCII字符&#xff0c;数字和字母。 官方开发指南PDF&#xff1a;&#xff08;不方便下载的私聊我发给你&#xff09; https://download.csdn.net/download…

STM32——串口实践

STM32——串口实践 1.蓝牙控制点灯 项目需求 通过蓝牙模块&#xff0c;实现手机控制蓝牙插座/风扇/灯。 本质&#xff1a; 采用蓝牙的透传功能&#xff1b; 控制 IO 口的输出。 硬件清单 HC01蓝牙模块 CH340 杜邦线 项目设计 HC01_TX – RX1 HC01_RX – TX1 项目…

STM32单片机基本原理与应用(四)

直流电机驱动控制原理 1、电机正反转控制 在STM32中&#xff0c;直流电机的正反转控制主要通过改变电机输入电源的极性来实现。当电机的电压极性发生变化时&#xff0c;电机的旋转方向也会相应改变。在硬件电路中&#xff0c;可以通过继电器或晶体管等电子开关来切换电机的电源…

嵌入式——模拟/数字转换器(ADC)补充

目录 一、ADC简介 二、ADC功能 1.电压输入范围 2.输入通道 3. 转换顺序 &#xff08;1&#xff09;规则序列 &#xff08;2&#xff09; 注入序列 4.触发源 5. 转换时间 &#xff08;1&#xff09; ADC时钟 &#xff08;2&#xff09; 采样时间 6. 数据寄存器 &am…

【全csdn最前沿LVGL9】基础对象lv_obj

文章目录 前言一、LVGL9的下载二、基础对象lv_obj2.1 概述2.2 布局2.3 lv_obj的使用创建一个lv_obj设置大小设置位置设置对齐父对象与子对象事件 总结 前言 LVGL&#xff08;LittlevGL&#xff09;是一个开源的嵌入式图形库&#xff0c;用于在嵌入式系统中创建用户界面。LVGL提…

江科大stm32学习笔记9——OLED调试工具

一、OLED OLED&#xff1a;有机发光二极管&#xff0c;供电3~5.5V&#xff0c;0.96寸OLED通信协议&#xff1a;I2C/SPI&#xff0c;分辨率&#xff1a;128*64 二、调试方式 串口调试&#xff1a;通过串口通信&#xff0c;将调试信息发送到电脑端&#xff0c;电脑使用串口助手…

STM32 RTC中断处理和低功耗模式优化技巧

在基于STM32的RTC应用中&#xff0c;中断处理和低功耗模式优化是非常重要的&#xff0c;可以提高系统的效率和节能。下面&#xff0c;我将介绍STM32 RTC中断处理和低功耗模式优化的技巧。 ✅作者简介&#xff1a;热爱科研的嵌入式开发者&#xff0c;修心和技术同步精进 ❤欢迎关…

STM32标准库——(5)EXTI外部中断

1.中断系统 中断&#xff1a;在主程序运行过程中&#xff0c;出现了特定的中断触发条件&#xff08;中断源&#xff09;&#xff0c;使得CPU暂停当前正在运行的程序&#xff0c;转而去处理中断程序&#xff0c;处理完成后又返回原来被暂停的位置继续运行 中断优先级&#xff…

【STM32】STM32学习笔记-W25Q64简介(37)

00. 目录 文章目录 00. 目录01. SPI简介02. W25Q64简介03. 硬件电路04. W25Q64框图05. Flash操作注意事项06. 预留07. 附录 01. SPI简介 在大容量产品和互联型产品上&#xff0c;SPI接口可以配置为支持SPI协议或者支持I 2 S音频协议。SPI接口默认工作在SPI方式&#xff0c;可以…

stm32 - 工程配置

stm32 - 工程配置 工程目录下新建启动文件夹 start 启动文件选择 根据容量选择启动文件.s //路径\固件库\STM32F10x_StdPeriph_Lib_V3.5.0\Libraries\CMSIS\CM3\DeviceSupport\ST\STM32F10x\startup\arm stm32f10x.h stm32外设寄存器描述文件&#xff0c;用来描述有哪些寄存器和…

【江科大】STM32:I2C通信外设(硬件)

在将2C通信外设之前&#xff0c;我们先捋一捋&#xff0c;串口的相关特点来和I2C进行一个对北比。 首先&#xff1a; 1,大部分单片机&#xff0c;设计的PCB板均带有串口通信的引脚&#xff08;也就是通信基本都借助硬件收发器来实现&#xff09; 2.对于串口的异步时序&#xff…

STM32实现软件IIC协议操作OLED显示屏(1)

时间记录&#xff1a;2024/1/25 一、IIC协议介绍 &#xff08;1&#xff09;协议介绍 IIC&#xff08;又称I2C&#xff0c;Inter-Integrated Circuit&#xff09;&#xff0c;即集成电路总线&#xff0c;是一种两线式串行总线&#xff0c;由PHILIPS公司开发&#xff0c;用…

STM32WLE5JC 低功耗模式

低功耗模式 该器件支持多种功耗模式&#xff0c;以实现低功耗、短启动时间、可用外设和可用唤醒源之间的最佳折衷。 默认情况下&#xff0c;在系统或上电复位后&#xff0c;微控制器处于运行模式&#xff0c;范围1&#xff1a; 休眠模式&#xff1a;CPU时钟关闭&#xff0c;…

Arduino和MPLAB X 开发STM32F103和PIC16F15376

要点&#xff1a; 使用Arduino开发STM32F103&#xff08;Blue Pill&#xff09;&#xff0c;MPLAB X 开发PIC16F15376&#xff08;Curiosity Nano&#xff09;C/C嵌入式开发ESP32(Arduino、ESP-IDF)和STM32实时操作系统FreeRTOS STM32使用FreeRTOS示例 在使用 FreeRTOS 时&a…

【STM32】STM32学习笔记-硬件SPI读写W25Q64(40)

00. 目录 文章目录 00. 目录01. SPI简介02. W25Q64简介03. SPI相关API3.1 SPI_Init3.2 SPI_Cmd3.3 SPI_I2S_SendData3.4 SPI_I2S_ReceiveData3.5 SPI_I2S_GetFlagStatus3.6 SPI_I2S_ClearFlag3.7 SPI_InitTypeDef 04. 硬件SPI读写W25Q64接线图05. 硬件SPI读写W25Q64示例06. 程序…

STM32 + ESP8266,连接阿里云 上报/订阅数据

&#xff08;文章正在编辑中&#xff0c;一点点地截图操作过程&#xff0c;估计要拖拉两三天&#xff09; 一、烧录MQTT固件 ESP8266出厂时&#xff0c;默认是AT固件。连接阿里云&#xff0c;需要使用MQTT固件。 1、独立EPS8266模块的烧录方法 2、魔女开发板&#xff0c;板载…

stm32中的SDIO

SDIO-SD卡 文章目录 SDIO-SD卡SD卡结构物理结构SD卡寄存器列表 SDIO总线SDIO总线拓扑SDIO总线SDIO总线协议常规数据传输宽位数据包 命令命令格式命令的类型命令集 SD卡的操作模式数据传输模式 STM32 的 SDIO 功能框图控制单元命令路径CPSM 状态机描述图数据路径数据 FIFO SDIO结…

STM32第三节——点亮第一个LED灯

1 STM32CubeMX新建工程 如果是第一次打开STM32CubeMX&#xff0c;软件会自动下载一些组件&#xff0c;等待下载完成即可。 1.2 点击ACCESS TO MCU SELECTOR 选择CPU型号&#xff0c;我用的是STM32F103ZET6&#xff0c;选择 STM32F103ZETx&#xff0c;可以点击旁边的收藏图标…

【STM32】STM32学习笔记-Unix时间戳(41)

00. 目录 文章目录 00. 目录01. Unix时间戳02. UTC/GMT03. 时间戳转换04. C 标准库 <time.h>05. 时间相关函数示例5.1 time函数5.2 gmtime函数5.3 localtime函数5.4 mktime函数5.5 ctime函数5.6 asctime函数5.7 strftime函数 06. 预留07. 附录 01. Unix时间戳 •Unix 时…

14 STM32标准库函数 之 实时时钟(RTC) 所有函数的介绍及使用

14 STM32标准库函数 之 实时时钟&#xff08;RTC&#xff09; 所有函数的介绍及使用 1 RTC的库函数预览1.1 函数RTC_ITConfig1.2 函数RTC_EnterConfigMode1.3 函数RTC_ExitConfigMode1.4 函数RTC_GetCounter1.5 函数RTC_SetCounter1.6 函数RTC_SetPrescaler1.7 函数RTC_ SetAla…

嵌入式——实时时钟(RTC)

目录 一、初识RTC 1.简介 2.特性 3.后备寄存器和RTC寄存器特性 二、RTC组成 1.相关寄存器 &#xff08;1&#xff09;控制寄存器高位&#xff08;RTC_CRH&#xff09; &#xff08;2&#xff09;控制寄存器低位&#xff08;RTC_CRL&#xff09; &#xff08;3&#xf…

STM32 PWM驱动设计

单片机学习&#xff01; 目录 文章目录 前言 一、PWM驱动配置步骤 二、代码示例及注意事项 2.1 RCC开启时钟 2.2 配置时基单元 2.3 配置输出比较单元 2.4 配置GPIO 2.5 运行控制 三、PWM周期和占空比计算 总结 前言 PWM本质是利用面积等效原理来改变波形的有效值。 一、PWM驱动…

基于STM32的SDIO读写SD卡的设计与实现

基于STM32微控制器的SDIO&#xff08;Secure Digital Input Output&#xff09;读写SD卡的设计和实现&#xff0c;可以分为硬件设计和软件实现两个部分。下面将对这两个部分进行详细说明。 ✅作者简介&#xff1a;热爱科研的嵌入式开发者&#xff0c;修心和技术同步精进 ❤欢迎…

RT-Thread:STM32的PB3,PB4 复用IO配置为GPIO

说明&#xff1a;在使用 STM32F103CBT6 配置了 PB3 为IO&#xff0c;测试时发现读取这个IO的电平时钟是0&#xff0c;即便单管脚上的电平是1&#xff0c;读取的数据任然是0,查规格书后发现PB3,PB4是JTAG复用口&#xff0c;要当普通IO用需要配置。 配置工具&#xff1a;STM32Cu…

NBIOT BC28驱动程序

//****************************************************************************** // www.gilicon.cn // // Copyright © 2020, NANCHANG Co.,Ltd. // All rights reserved. // // FileName : NB_BC28.c // Date : 2020-01-07 // Version : V0001 // 历史记录 : 1.第一…

STM32/STM8资源节约主义编程方式

STM32/STM8资源节约主义编程方式 在小资源芯片进行代码设计时&#xff0c;如STM32C0系列&#xff0c;STM8系列&#xff0c;因为官方库本身要包含各种场景应用特征的支持&#xff0c;所以会有一些冗余的代码占用更多FLASH空间。当需要实现资源占用最简化设计方式时&#xff0c;…

嵌入式linux开发——stm32mp1完成视觉检测(一)

这里从今天开始给自己挖坑然后慢慢填坑…… 打算基于自己买的正点原子的stm32mp157开发板还有OV5640摄像头&#xff0c;完成一个视觉检测的项目&#xff0c;最基础的版本是直接OpenCV打开摄像头然后完成简单的检测&#xff1b;进阶版把sklearn训练的模型通过cpp读取然后显示&a…

一、串行FLASH文件系统FatFs简介

本节主要给大家介绍以下内容&#xff1a; 文件系统简介 FatFs文件系统简介 FatFs文件系统移植 FatFs功能使用 一、文件系统简介 当我们在使用SPI FLASH直接存储数据 当需要记录字符“STM32 SPI FLASH”时。可以把这些文字转化成ASCII码&#xff0c;存储在数组中&#xff0…

STM32的以太网外设+PHY(LAN8720)使用详解(2):硬件设计

0 工具准备 1.野火 stm32f407霸天虎开发板 2.LAN8720数据手册 3.STM32F4xx中文参考手册1 PHY&#xff08;LAN8720&#xff09;硬件配置 1.1 硬件配置引脚说明 在LAN8720上电或复位时会读取一些特定引脚的电平&#xff0c;根据电平来进行硬件配置。LAN8720的引脚分布如下&…

Liteos移植_STM32_HAL库

0 开发环境 STM32CubeMX(HAL库)keil 5正点原子探索者STM32F4ZET6LiteOS-develop分支 1 STM32CubeMX创建工程 如果有自己的工程&#xff0c;直接从LiteOS源码获取开始 关于STM32CubeMX的安装&#xff0c;看我另一篇博客STM32CubeMX安装 工程配置 创建新工程 选择芯片【STM32F…

stm32 寄存器、地址、位带操作

存储器区域功能划分 4GB 的地址空间中&#xff0c;ARM 已经粗线条的平均分成了 8 个块&#xff0c;每块 512MB&#xff0c;每个块也都规定了用途&#xff0c;具体分类见表格 6-1。每个块的大小都有 512MB&#xff0c;显然这是非常大的&#xff0c;芯片厂商在每个块的范围内设计…

STM32F4 HAL流水灯Proteus仿真

源码下载&#xff1a;https://download.csdn.net/download/zlkk00/88654405

032 - STM32学习笔记 - TIM基本定时器(一) - 定时器基本知识

032 - STM32学习笔记 - TIM定时器&#xff08;一&#xff09; - 基本定时器知识 这节开始学习一下TIM定时器功能&#xff0c;从字面意思上理解&#xff0c;定时器的基本功能就是用来定时&#xff0c;与定时器相结合&#xff0c;可以实现一些周期性的数据发送、采集等功能&#…

基于STM32的DS1302实时时钟模块应用

DS1302是一款低功耗的实时时钟芯片&#xff0c;被广泛应用于各种电子产品中。它具有准确计时、多种时间格式表示、定时报警等功能&#xff0c;适用于记录时间、日期和闹钟。在本文中&#xff0c;我们将介绍如何在基于STM32的开发环境中使用DS1302实时时钟模块&#xff0c;并给出…

【STM32】STM32学习笔记-TIM定时中断(13)

00. 目录 文章目录 00. 目录01. TIM简介02. 定时器类型03. 基本定时器04. 通用定时器05. 高级定时器06. 定时中断基本结构07. 预分频器时序08. 计数器时序09. 计数器无预装时序10. 计数器有预装时序11. RCC时钟树12. 附录 01. TIM简介 TIM&#xff08;Timer&#xff09;定时器…

跟着野火学FreeRTOS:第一段(任务延时列表)

既然是延时列表&#xff0c;那肯定要先定义相应的链表&#xff0c;延时列表的定义如下。这里定义了两条延时列表&#xff08;其实就是前面小节里面提到的链表的根节点&#xff09;&#xff0c;一条是准备当记录 S y s t i c k Systick Systick周期个数的变量 x T i c k C o u n…

新建一个基于标准库的工程(STM32)

目录 1.新建存放工程的文件夹 2.打开KEIL5软件 3.新建一个本次工程的文件夹 4.添加工程的必要文件 4.1打开STM32的启动文件 ​编辑 4.2&#xff1a; 4.3添加内核寄存器文件 ​编辑 5.回到keil5软件&#xff0c;将刚才复制的那些文件添加到工程中 5.1添加一个启动文件&am…

51单片机温湿度数据管理系统

51单片机温湿度数据管理系统 1.硬件准备 开发板&#xff1a;51单片机 显示&#xff1a;lcd1602 温湿度模块&#xff1a;DHT11 通信模块&#xff1a;HC-08蓝牙 2.代码实现 uart.c #include "reg52.h" #include "config.h" #include <string.h>sf…

stm32--simulink开发之--timer的学习,硬件输入中断,触发事件

总体的参考链接是&#xff1a; https://ww2.mathworks.cn/help/ecoder/stmicroelectronicsstm32f4discovery/ref/timer.html 输入&#xff1a; 1&#xff0c;配置项&#xff1a;Enable frequency input 缩写&#xff1a;freq conunt 说明&#xff1a;“freq count — Frequency…

STM32学习笔记一——初识STM32

目录 一、什么是ARM 二. Cortex 内核 三.什么是STM32 四.STM32核心板原理图&#xff1a; 五.STM32的内部结构&#xff1a; 六.stm32系统结构简化图 STM32基本原理分析&#xff1a; 七.典型型号——STM32F103ZET6 stm32——32位单片机&#xff08;数据总线是32位的&am…

STM32单片机项目之多功能智能小车硬件设计

基于STM32单片机多功能智能小车功能说明&#xff1a; TFTLCD显示按键LVGL&#xff08;菜单、小车工作模式选择、设置&#xff09;手机蓝牙遥控模式射频手柄遥控模式5路红外寻迹模式超声波避障模式语音播报低功耗控制 硬件原理图设计 单片机最小系统&#xff1a; 由于要使用…

【XR806开发板试用】1、UDP通信测试

XR806官方工程里已经给了WiFi连接的demo&#xff0c;基于这个demo可以很方便的添加代码实现UDP通信。 代码目录结构如下. ohosdemo ├── BUILD.gn ├── hello_demo ├── iot_peripheral ├── LED └── wlan_demo├── BUILD.gn├── main.c├── test_case.c├─…

STM32——温湿度LCD显示并上传手机

STM32——温湿度LCD显示并上传手机 1.LCD1602 硬件接线 D0~D7 – A0~A7 RS – B1 RW – B2 EN – B10 V0 – GND&#xff08;正视看不到显示结果&#xff0c;需要侧着看。否则需要接可调电阻&#xff09; 引脚封装 RS、RW、EN三根信号线经常需要进行拉高/拉低操作&…

STM32-GPIO输入——按键检测

1 软件设计 为了使程序更有条例&#xff0c;方便移植在“工程模板”之上新建“bsp_key.c”及“bsp_key.h”文件&#xff0c;这些文件也可根据您的喜好命名&#xff0c;这 些文件不属于STM32HAL库的内容&#xff0c;是由我们自己根据应用需要编写的 1.1 编程要点 1&#xff…

基于STM32的UART/USART数据传输的错误检测和纠错机制研究

在STM32的UART/USART数据传输过程中&#xff0c;为了确保数据的可靠性&#xff0c;通常需要使用错误检测和纠错机制。常见的错误检测和纠错技术包括奇偶校验、循环冗余校验&#xff08;CRC&#xff09;、硬件流控制和重发机制等。本文将重点介绍这些技术在STM32上的应用&#x…

STM32--USART串口(2)串口外设

一、USART简介 可配置数据位&#xff1a;不需要校验就是8位&#xff0c;需要校验就选9位&#xff1b; 停止位&#xff1a;决定了帧的间隔; STM32F103C8T6USART&#xff1a;USART1挂载在APB2总线上&#xff0c;USART2和USART3挂载在APB1总线上&#xff1b; 二、USART框图 TXE…

STM32 HAL NTC(3950 10k)查表法

NTC&#xff08;Negative Temperature Coefficient&#xff09;是指随温度上升电阻呈指数关系减小、具有负温度系数的热敏电阻现象和材料。该材料是利用锰、铜、硅、钴、铁、镍、锌等两种或两种以上的金属氧化物进行充分混合、成型、烧结等工艺而成的半导体陶瓷&#xff0c;可制…

江科大stm32学习笔记11——旋转编码器计次

一、接线 旋转编码器&#xff0c;旋钮会不断接触断开触点产生电波。 由于两个电波之间相差90&#xff0c;即为正交波&#xff0c;一个电波处于高电平时另一个处于低电平&#xff0c;所以可以用来判断旋转方向。 二、代码 复制粘贴4-1的工程文件&#xff0c;重命名为“5-2 旋转…

FreeRTOS列表

一、博主针对列表的理解如下&#xff1a; &#xff08;1&#xff09;列表是 FreeRTOS 中最基本的一种数据结构&#xff0c;其在物理存储单元上是非连续、非顺序的。FreeRTOS 中的列表是一个双向链表。 &#xff08;2&#xff09;vListInsertEnd()&#xff1a;用于将待插入列表…

STM32 cubeMX 人体红外模块实验

本文代码使用HAL库。 文章目录 前言一、人体红外模块介绍工作原理&#xff1a; 二、人体红外原理图解读三、STM32 cubeMX配置红外模块四、代码编写总结 前言 实验开发板&#xff1a;STM32F051K8。所需软件&#xff1a;keil5 &#xff0c; cubeMX 。实验目的&#xff1a;了解 人…

嵌入式开发——ARM介绍

ARM架构 ARM是一种芯片架构,由英国的ARM Holdings公司开发和授权,被广泛应用于各种嵌入式系统、移动设备和消费电子产品中。ARM架构被设计成低功耗、高性能、可定制化的特点,能够满足各种应用场景下的需求。 ARM架构主要设计了以下几个部分内容: 指令集架构(Instruction…

STM32 map文件详解

文章目录 1. 前言2. 生成 .map 文件3 .map 文件的组成3.1 Section Cross References - 各个源文件之间函数的调用关系3.2 Removing Unused input sections from the image - 移除未使用的模块3.3 Image Symbol Table - 映射符号表&#xff1a;描述各&#xff08;程序段 / 数据&…

【LVGL】STM32F429IGT6(在野火官网的LCD例程上)移植LVGL官方的例程(还没写完,有问题 排查中)

这里写目录标题 前言一、本次实验准备1、硬件2、软件 二、移植LVGL代码1、获取LVGL官方源码2、整理一下&#xff0c;下载后的源码文件3、开始移植 三、移植显示驱动1、enable LVGL2、修改报错部分3、修改lv_config4、修改lv_port_disp.c文件到此步遇到的问题 Undefined symbol …

【基础篇】一,认识STM32

一&#xff0c;什么是STM32&#xff1f; STM32是一款由意法半导体公司开发的32位微控制器&#xff1b;其中ST指意法半导体&#xff1b;M指MCU或MPU&#xff0c;32指32 位。 STM32覆盖了Cortex-M的多种系列&#xff0c;包括M0、M0、M3、M7等。在分类上&#xff0c;STM32有很多…

【STM32】DMA直接存储器存取

1 DMA简介 DMA&#xff08;Direct Memory Access&#xff09;直接存储器存取 可以直接访问STM32的存储器的&#xff0c;包括运行SRAM、程序存储器Flash和寄存器等等 DMA可以提供外设寄存器和存储器或者存储器和存储器之间的高速数据传输&#xff0c;无须CPU干预&#xff0c;节…

CanEasy多场景应用,让汽车总线测试更简单

来源&#xff1a;虹科汽车电子 虹科分享 | CanEasy多场景应用&#xff0c;让汽车总线测试更简单 原文链接&#xff1a;https://mp.weixin.qq.com/s/ojic4xfVTLbxXcKlJMGQZw 欢迎关注虹科&#xff0c;为您提供最新资讯&#xff01; 导读 CanEasy是一个基于Windows的总线工具&…

【STM32】STM32学习笔记-LED闪烁 LED流水灯 蜂鸣器(06-2)

00. 目录 文章目录 00. 目录01. GPIO之LED电路图02. GPIO之LED接线图03. LED闪烁程序示例04. LED闪烁程序下载05. LED流水灯接线图06. LED流水灯程序示例07. 蜂鸣器接线图08. 蜂鸣器程序示例09. 下载10. 附录 01. GPIO之LED电路图 电路图示例1 电路图示例2 02. GPIO之LED接线图…

STM32读取EEPROM存储芯片AT24C512故障然后排坑记录

背景&#xff1a; 有一个项目用到STM32F091芯片去读取 AT24C512C-SSHD EEPROM 芯片&#xff0c;我直接移植了之前项目的IIC库&#xff0c;结果程序运行后&#xff0c;读不出EEPROM里面的数据。 摘要&#xff1a; 本文主要介绍一个基于STM32F091芯片和AT24C512C-SSHD EEPROM芯片…

一文读懂CAN总线协议 (超详细配34张高清图)

CAN总线通信详解 (超详细配34张高清图) 1. CAN总线历史 CAN 是 Controller Area Network 的缩写&#xff08;以下称为 CAN&#xff09;&#xff0c;是 ISO国际标准化的串行通信协议。 在当前的汽车产业中&#xff0c;出于对安全性、舒适性、方便性、低公害、低成本的要求&#…

STM32CAN2进入bus off 模式

工作遇到的问题记录 无人机CAN2整个进不了中断&#xff0c;通过查看寄存器判定出CAN节点进入了bus off mode 为何进入bus off &#xff0c;最后通过示波器看到整个CAN2总线波形就不对&#xff0c;总线出现了错误 Busoff的产生是一定是因为节点自身识别到自己发送错误&#xff…

正点原子--STM32定时器学习笔记(2)

书接上文&#xff0c;本篇是对基本定时器实验部分进行的总结~ 实验目标&#xff1a;通过TIM6基本定时器定时500ms&#xff0c;让LED0每隔500ms闪烁。 解决思路&#xff1a;使用定时器6&#xff0c;实现500ms产生一次定时器更新中断&#xff0c;在中断里执行“翻转LED0”。 定时…

STM32--HAL库定时器学习记录(易懂)--持续学习

一、什么是定时器 定时器就是计数器&#xff0c;通过计数完成一系列功能。 二、定时器的分类 定时器分为基本定时器、通用定时器、高级定时器。级别不同&#xff0c;功能不同。级别越高&#xff0c;功能越强。 三、定时器&#xff08;计数器&#xff09;三个重要寄存器 预分…

STM32TIM时钟(1)

文章目录 前言一、介绍部分TIM简介了解定时器类型基本定时器框图通用定时器框图高级定时器框图定时器级联关系 所需简化定时器中断流程图时序部分预分频器时序计数器时序无影子寄存器计数器时序有影子寄存器计数器时序 时钟树 二、实例部分使用定时器计数使用对射红外传感器来控…

RTthread线程间通信(邮箱,消息队列,信号/软件中断)---01实际使用API函数

layout: post title: “RT-Thread线程间通信” date: 2024-2-5 15:39:08 0800 tags: RT-Thread 线程间通信 这一篇是实际使用, 代码分析看后面的文章 一般可以使用全局变量以及线程间同步进行实现 RT-Thread也提供了一部分的通信机制 邮箱 一个线程发送, 另外的线程接受信息…

【宝藏系列】嵌入式入门概念大全

【宝藏系列】嵌入式入门概念大全 0️⃣1️⃣操作系统&#xff08;Operating System&#xff0c;OS&#xff09; 是管理计算机硬件与软件资源的系统软件&#xff0c;同时也是计算机系统的内核与基石。操作系统需要处理管理与配置内存、决定系统资源供需的优先次序、控制输入与输…

Linux第43步_移植ST公司uboot的第4步_uboot测试

uboot移植结束后&#xff0c;需要进行测试。 1、烧录程序 1)、将STM32MP157开发板的网络接口与路由器的网络接口通过网线连接起来。 2)、将开发板的串口和电脑通过USB线连接起来。 3)、将开发板的USB OTG接口和电脑通过USB线连接起来。 4)、将开发板上拨码开关拨到“000”…

在windows的控制台实现贪吃蛇小游戏

欢迎来到博主的文章 博主id&#xff1a;代码小豪 前言&#xff1a;看懂这篇文章需要具有C语言基础&#xff0c;还要对单链表具有一定的理解。如果你只是想要试玩这个游戏&#xff0c;可以直接在文章末尾找到源码 由于实现贪吃蛇需要调用Win32 API函数&#xff0c;这些函数我会…

KEIL-MDK的时间戳之time.h 结合gd32f1的RTC应用

KEIL-MDK的时间戳之time.h 的应用 1 时间戳介绍 现在物联网产品的在进行通讯的时候&#xff0c;需要加入时间戳的这个信息参数&#xff0c;方便服务器和产品之间交换时间信息。 时间戳是计算机系统中用来表示日期和时间的一种方式&#xff0c;通常是一个数字或者一串字符&am…

STM32之USART

概述 串口通信&#xff0c;通用异步收发传输器&#xff08;Universal Asynchronous Receiver/Transmitter &#xff09;&#xff0c;简称UART&#xff1b;而USART&#xff08;Universal Synchronous/Asynchronous Receiver/Transmitter&#xff09;通用同步收发传输器。 USAR…

FreeRTOS任务挂起以及延时部分源码分析

layout: post title: “任务状态” date: 2023-7-19 15:39:08 0800 tags: FreeRTOS 任务状态 fireRTOS代码分析 任务挂起 //把一个任务挂起 void vTaskSuspend( TaskHandle_t xTaskToSuspend ) {TCB_t *pxTCB;taskENTER_CRITICAL();//进入临界区{/* 参数是NULL的时候设置为当…

STM32DMA

文章目录 前言一、介绍部分DMA简介存储器映像DMA框图仲裁器 DMA基本结构请求映像数据对齐方式数据转运ADC扫描模式配合DMA 二、代码部分DMA数据转运连接电路代码实现ADC扫描DMA实现思路连接电路代码实现 总结函数相关 前言 介绍STM32DMA的原理以及功能&#xff0c;使用DMA配合…

【win10用vim开发stm32】二、vimspector的单片机调试

▲ 我的vim配置仓库: gitee&#xff0c;vim相关优先在gitee更新&#xff0c;博客vim专栏作为部分补充和使用说明 ▲ 本文提供vimspector调试的一个示例&#xff0c;和keil的调试功能比当然还是有很大差距&#xff0c;不过简单的调试功能如单步、复位、运行这些都跑通了&#xf…

GPIO的使用--滴答定时器--pir人体红外传感器

目录 一、滴答定时器的使用与原理 1、定义 2、原理 &#xff08;1&#xff09;向上计数​编辑 &#xff08;2&#xff09;向下计数 &#xff08;3&#xff09; 代码流程 a、配置滴答时钟唤醒频率 b、滴答时钟中断函数 &#xff08;4&#xff09;结果 3、优化-->寄存…

STM32H5 Nucleo-144 board开箱

文章目录 开发板资料下载 【目标】 点亮LD1&#xff08;绿&#xff09;、LD2&#xff08;黄&#xff09;和LD3&#xff08;红&#xff09;三个LED灯 【开箱过程】 博主使用的是STM32CubeMX配置生成代码&#xff0c;具体操作如下&#xff1a; 打开STM32CubeMX&#xff0c;File-…

CAN静默回环模式测试

本文章主要用于 看自己写的CAN协议代码是否正确 然后因为只有一块板子&#xff0c;不能通信的情况 can.h #ifndef __CAN_H #define __CAN_H#include "head.h"extern FlagStatus can0_receive_flag; extern FlagStatus can0_error_flag; extern can_trasnmit_messag…

STM32-定时器输入捕获

目录 一、输入捕获简介 二、频率测量方法 三、输入捕获框图 四、输入捕获通道 五、输入捕获基本结构 六、PWMI基本结构 七、开发步骤 八、输入捕获库函数 九、实验 9.1 输入捕获测频率 9.2 PWMI模式测频率和占空比 一、输入捕获简介 >IC&#xff08;Input Capture&…

ARM开发

ARM课程介绍 课程特点 ARM开发 --> Linux移植 --> 驱动开发 前后联系&#xff1a;ARM和系统移植为驱动开发学习做准备工作 所需知识&#xff1a;C语言基础及STM32需要的硬件知识 课程要求 目标&#xff1a;学习程序运行原理、硬件的控制原理 会看原理图、芯片手册、学习…

STM32单片机项目实例:基于TouchGFX的智能手表设计(4)LPBAM的应用

STM32单片机项目实例&#xff1a;基于TouchGFX的智能手表设计&#xff08;4&#xff09;LPBAM的应用 目录 一、概述 二、LPBAM简介 2.1 支持 LPBAM 的外设 三、LPBAM硬件机制 3.1 电源和时钟架构 3.2 速度限制 3.3 LPGPIO_IOToggle实验 一、概述 STM32U5 系列微控制器基…

基于单片机的智能导盲杖设计 (论文+源码)

1. 系统设计 应用STC89C52单片机微处理器进行研究一种智能手杖系统&#xff0c;需要同时实现超声波自动测距、语音自动报警、距离自动显示、电机震动报警、LED指示灯灯光明灭自动提醒等多种功能&#xff0c;在手机通信提醒模式下手机用户可拨打固定手机电话信号实现手机通信提…

【STM32独立看门狗(IWDG) 】

提示&#xff1a;文章写完后&#xff0c;目录可以自动生成&#xff0c;如何生成可参考右边的帮助文档 文章目录 前言一、看门狗是什么&#xff1f;1.简介2. 主要功能3.独立看门狗如何工作4.寄存器写保护5.看门狗 看门时间 二、使用步骤1.开启时钟2.初始化看门狗3.开启看门狗4.喂…

【STM32】STM32学习笔记-OLED调试工具(09)

00. 目录 文章目录 00. 目录01. STM32调试方式02. OLED简介03. 0.96寸OLED模块04. 0.96寸OLED驱动IC05. 0.96寸OLED原理图06. 硬件电路07. OLED驱动函数08. 附录 01. STM32调试方式 串口调试&#xff1a;通过串口通信&#xff0c;将调试信息发送到电脑端&#xff0c;电脑使用串…

STM32-HAL库11-SPI通讯(F103C6T6做主机,F103C8T6做从机)

STM32-HAL库11-SPI通讯&#xff08;F103C6T6做主机&#xff0c;F103C8T6做从机&#xff09; 一、所用材料 STM32F103C6T6最小系统板-主机 STM32F103C8T6最小系统板-从机 串口调试助手X-COM 二、所学内容 主要为实现SPI的轮询发送功能&#xff0c;在DSP280049C初学&#xff…

STM32-HAL库10-CAN通讯(轮询发送,中断接收)

STM32-HAL库10-CAN通讯&#xff08;轮询发送&#xff0c;中断接收&#xff09; 一、所用材料 自制STM32F407VGT6控制板 串口调试助手X-COM 泥人CAN转USB及其配套上位机软件 二、所学内容 本文所需要实现的目标是&#xff0c;通过泥人CAN转USB&#xff0c;以上位机为主机&am…

STM32 学习(二)GPIO

目录 一、GPIO 简介 1.1 GPIO 基本结构 1.2 GPIO 位结构 1.3 GPIO 工作模式 二、GPIO 输出 三、GPIO 输入 1.1 传感器模块 1.2 开关 一、GPIO 简介 GPIO&#xff08;General Purpose Input Output&#xff09;即通用输入输出口。 1.1 GPIO 基本结构 如下图&#xff0…

STM32入门教程-2023版【3-2】推挽输出和开漏输出驱动问题

关注 点赞 不错过精彩内容 大家好&#xff0c;我是硬核王同学&#xff0c;最近在做免费的嵌入式知识分享&#xff0c;帮助对嵌入式感兴趣的同学学习嵌入式、做项目、找工作! 二、正式点亮一个LED灯 &#xff08;4&#xff09;推挽输出和开漏输出驱动问题 把LED的正负极对换&…

普中STM32-PZ6806L开发板(HAL库函数实现-温度传感器DS18B20)

简介 主芯片STM32F103ZET6, 通过引脚PG11 连接DS18B20, 读取DS18B20采集的温度数据;电路原理图 DS18B20电路图 DS18B20 与 主芯片连接引脚 其他知识 DS18B20资料 DS18B20数据手册 DS18B20 简介 单线通讯的温度传感器, 测量温度在-55℃ 到 125℃&#xff0c; 在-10C 到…

STM32 内部 EEPROM 读写

STM32 的某些系列 MCU 自带 EEPROM。笔者使用的 STM32L151RET6 自带 16 KB 的 EEPROM&#xff0c;可以用来存储自定义的数据。在芯片选型时&#xff0c;自带 EEPROM 也可以作为一个考量点&#xff0c;省去了在外接 EEPROM 的烦恼。 下面简单介绍下 STM32 内部 EEPROM 的读写流…

STM32通用定时器-输入捕获-脉冲计数

一、知识点 编码器   两相编码器&#xff08;正交编码器&#xff09;&#xff1a;两相编码器由 A 相和 B 相组成&#xff0c;相位差为 90 度。当旋转方向为顺时针时&#xff0c;A 相先变化&#xff0c;然后 B 相变化&#xff1b;当旋转方向为逆时针时&#xff0c;B 相先变化…

STM32学习笔记十九:WS2812制作像素游戏屏-飞行射击游戏(9)探索道具系统

增加道具的初衷&#xff0c;是为了增加游戏的趣味性。但是现在有些游戏吧&#xff0c;啧啧啧。 考虑道具&#xff0c;我们要考虑几方面的事情&#xff1a; 1、道具产生&#xff0c;可以随机产生&#xff0c;指定位置或时间自动产生&#xff0c;击杀地方产生。 2、未捡拾的道…

嵌入式科普(10)IAR debug reset delay

一、目的/概述 二、问题描述 三、解决办法 四、分析原理 4.1 halt after delay是什么 4.2 M3/M4内核如何配置 4.3 新建M3/M4内核工程验证 4.4 I-jet delay after 4.5 I-jet 默认值 4.6 st-link 4.7 DAP 4.8 T…

STM32 IAP学习

STM32三种烧录方式 ISP&#xff1a;In System Programming&#xff08;在系统编程&#xff09; 执行芯片厂商的BootLoader程序进入ISP模式&#xff0c;进入ISP模式后&#xff0c;用户可选择官方提供的烧录通信接口&#xff08;如&#xff1a;串口&#xff09;&#xff0c;并配…

STM32G030C8T6:USART串口通信(中断)

本专栏记录STM32开发各个功能的详细过程&#xff0c;方便自己后续查看&#xff0c;当然也供正在入门STM32单片机的兄弟们参考&#xff1b; 本小节的目标是&#xff0c;系统主频64 MHZ,采用高速外部晶振&#xff0c;通过芯片PB6,PB7 的USART1 口&#xff0c;实现串口通信。 原理…

STM32学习记录——蓝牙发送数据(手机显示传感器数据)

文章目录 前言一、学习目的二、代码记录 前言 将数据通过蓝牙发送到手机上&#xff0c;是学生学习单片机的必备技能之一&#xff0c;它可以将手机作为简单的上位机&#xff0c;从而使数据直观地展现出来。 蓝牙的初始化、蓝牙接受数据以及蓝牙简单应用的介绍和代码记录&#xf…

STM32 ADC采样调试笔记

最近在搞STM32L051系列一个小MCU&#xff0c;要用这个去采集两路ADC作为输入。期间也碰到过一些问题&#xff0c;顺便记录下。 ADC采集原理不说了&#xff0c;主要采集电压&#xff0c;用数字进行细分&#xff0c;这样就可以知道输入电压多少了&#xff0c;网上也有很多相关文…

FreeRTOS 实时操作系统第七讲 - 调度锁,中断锁,任务锁

一、调度锁、中断锁&#xff0c;任务锁 1.1、调度锁 调度锁就是 RTOS 提供的调度器开关函数&#xff0c;如果某个任务调用了调度锁开关函数&#xff0c;处于调度锁开和调度锁关之间的代码在执行期间是不会被高优先级的任务抢占的&#xff0c;即任务调度被禁止。这一点要跟临界…

记:STM32F4参考手册-存储器和总线架构

STM32F4参考手册-存储器和总线架构 系统架构 主系统由32位多层AHB总线矩阵构成&#xff0c;可实现以下部分部分的互连&#xff1a; 八条主控总线&#xff1a; Cortex-M4F内核I总线、D总线和S总线 DMA1存储器总线 DMA2存储器总线 DMA2外设总线 以太网DMA总线 USB OTG HS DMA总线…

STM32F1 引脚重映射功能

STM32 端口引脚重映射 文章目录 STM32 端口引脚重映射前言1、查阅芯片数据手册1.1 串口引脚重映射描述 2、代码部分2.1 核心代码部分 3、实验现象4、总结 前言 在写程序时遇到想要的端口功能&#xff0c;而这个引脚又被其它的功能占用了无法删除掉或直接使用&#xff0c;这种情…

BKP寄存器与RTC实时时钟

BKP寄存器 BKP寄存器简介 BKP&#xff08;Backup Registers&#xff09;备份寄存器 BKP可用于存储用户应用程序数据。当VDD&#xff08;2.03.6V&#xff09;电源被切断&#xff0c;他们仍然由VBAT&#xff08;1.83.6V&#xff09;维持供电。当系统在待机模式下被唤醒&#xf…

Linux第48步_编译正点原子的出厂Linux内核源码

编译正点原子的出厂 Linux 内核源码&#xff0c;为后面移植linux做准备。研究对象如下&#xff1a; 1)、linux内核镜像文件“uImage” 路径为“arch/arm/boot”&#xff1b; 2)、设备树文件“stm32mp157d-atk.dtb” 路径为“arch/arm/boot/dts” 3)、默认配置文件“stm32m…

STM32 寄存器操作 GPIO 与中断

一、如何使用stm32寄存器点灯&#xff1f; 1.1 寄存器映射表 寄存器本质就是一个开关&#xff0c;当我们把芯片寄存器配置指定的状态时即可使用芯片的硬件能力。 寄存器映射表则是开关的地址说明。对于我们希望点亮 GPIO_B 的一个灯来说&#xff0c;需要关注以下的两个寄存器…

STM32自学☞定时器外部时钟案例

本案例主要是通过外部时钟实现对射式红外传感器的计次&#xff0c;在oled显示屏上显示CNT的次数 timer_interrupt.c文件 #include "stm32f10x.h" #include "stm32f10x_tim.h" #include "timer_interrupt.h" #include "stdint.h" …

【经验】STM32的一些细节

这两天 碰到的奇葩问题是 STM32定时器同步的问题。 我的设计本意是&#xff1a;使用定时器T3以100us的周期来定时发送命令给 FPGA。由于编码器出结果的最长时间为51us。因此&#xff0c;希望PWM中断要滞后于T3 约60us 。 调试过程&#xff1a;分别在T3和PWM中断中置IO1&#…

【STM32 CubeMX】HAL库的本质读写寄存器

文章目录 前言一、HAL库的本质1.1 HAL库的本质是操作寄存器1.2 自己实现HAL_GPIO_WritePin寄存器通过寄存器的操作点灯代码概况Port bit set/reset register寄存器 总结 前言 在嵌入式系统开发中&#xff0c;HAL&#xff08;Hardware Abstraction Layer&#xff09;库是一个重…

STM32——OLED菜单(二级菜单)

文章目录 一.补充二. 二级菜单代码 简介&#xff1a;首先在我的51 I2C里面有OLED详细讲解&#xff0c;本期代码从51OLED基础上移植过来的&#xff0c;可以先看完那篇文章&#xff0c;在看这个&#xff0c;然后按键我是用的定时器扫描不会堵塞程序,可以翻开我的文章有单独的定时…

STM32 新建寄存器版本MDK工程简要步骤

新建工程文件夹 新建一个工程根目录文件夹&#xff0c;并在该文件夹里新建D/M/O/P/U文件夹。 Drivers&#xff1a;存放与硬件相关的驱动层文件Middlewares&#xff1a;存放正点原子提供的中间层组件文件和第三方中间层文件Output&#xff1a;存放工程编译输出文件Projects&am…

STM32——OLED菜单

文章目录 一.补充二. 二级菜单代码 简介&#xff1a;首先在我的51 I2C里面有OLED详细讲解&#xff0c;本期代码从51OLED基础上移植过来的&#xff0c;可以先看完那篇文章&#xff0c;在看这个&#xff0c;然后按键我是用的定时器扫描不会堵塞程序,可以翻开我的文章有单独的定时…

STM32/C51开发环境搭建(KeilV5安装)

Keil C51是美国Keil Software公司出品的51系列兼容单片机C语言软件开发系统&#xff0c;与汇编相比&#xff0c;C语言在功能上、结构性、可读性、可维护性上有明显的优势&#xff0c;因而易学易用。Keil提供了包括C编译器、宏汇编、链接器、库管理和一个功能强大的仿真调试器等…

STM32 硬件随机数发生器(RNG)

STM32 硬件随机数发生器 文章目录 STM32 硬件随机数发生器前言第1章 随机数发生器简介1.1 RNG主要特性1.2.RNG应用 第2章 RNG原理框图第3章 RNG相关寄存器3.1 RNG 控制寄存器 (RNG_CR)3.2 RNG 状态寄存器 (RNG_SR)3.3 RNG 数据寄存器 (RNG_DR) 第3章 RNG代码部分第4章 STM32F1 …

正点原子--STM32基本定时器学习笔记(1)

目录 1. 定时器概述 1.1 软件定时原理 1.2 定时器定时原理 1.3 定时器分类 1.4 定时器特性表 1.5 基本、通用、高级定时器的功能整体区别 2. 基本定时器简介 3. 基本定时器框图 时钟树分析 这部分是笔者对基本定时器的理论知识进行学习与总结&#xff01;主要记录学习…

STM32Cubmax AD采集

一、基本概念 二、项目 AD函数结构体 typedef struct { uint32_t Mode; // ADC 工作模式选择 FunctionalState ScanConvMode; /* ADC 扫描&#xff08;多通道&#xff09; 或者单次&#xff08;单通道&#xff09;模式选择 */ FunctionalState ContinuousConvMode; // ADC 单…

STM32 7-8

目录 ADC AD单通道 AD多通道 DMA DMA转运数据 DMAAD多通道 ADC AD单通道 AD.c #include "stm32f10x.h" // Device header/*** brief 初始化AD所需要的所有设备* param 无* retval 无*/ void AD_Init(void) {RCC_APB2PeriphClockCmd(RCC_AP…

【STM32 CubeMX】SPI层次结构SPI协议与SPI控制器结构

文章目录 前言一、SPI 程序层次1.1 硬件原理图1.2 硬件框图1.3 软件层次 二、SPI协议2.1 硬件连线2.2 如何访问SPI设备2.3 SPI 框图 总结 前言 随着嵌入式系统的迅猛发展&#xff0c;STM32系列微控制器在各种应用中得到广泛应用。在嵌入式系统设计中&#xff0c;串行外设接口&…

STM32面试相关问题

STM32面试相关问题&#xff1a; STM32的内核型号&#xff0c;主频&#xff0c;传感器和单片机总线类型&#xff0c;IIC,SPI,RS485UART数据帧项目中一些参数的设置 STM32 系统移植 ARM编译 常用的驱动编写方式 自己写过哪些方面驱动 其实如果问32的问题&#xff0c…

RT-Thread(RTT)如何打印输出浮点数

问题&#xff1a; 一、基于RTT的工程下&#xff0c;打印输出浮点数 二、输出的都是这些&#xff0c;因为RTT默认下不支持输出浮点数 解决&#xff1a; 一、点击RT-Thread Settings 二、点击添加软件包 三、输入print &#xff0c;搜索后添加rt_vsnprintf_full这个 四、添加后…

ARM编译器5.06下载安装

ARM编译器5.06下载安装 1.官网下载 进入官方网站ARM Complier v5.06官网下载页面 进入后的界面为 往下翻&#xff0c;找到如图位置的5.06 for windows的文件&#xff0c;点击下载&#xff0c;下载时需要登录账号 2.安装 先解压下载的压缩文件&#xff0c;在installer文件夹里…

FreeRTOS学习笔记——FreeRTOS中断管理

精华总结&#xff1a; 中断优先级0为最高&#xff0c;任务优先级0为最低 中断优先级分组中为方便rtos管理4bit全部设置成抢占优先级 32单片机的中断管理是由3个寄存器完成&#xff08;名字忽略&#xff0c;具体功能忽略&#xff09;&#xff0c;三个寄存器都是32bit&#xff0c…

PWM驱动舵机

难点&#xff1a;ARR、PSC /**********************************************************************************************************/ 一、知识补充 舵机原理&#xff1a;总想固定在一个固定角度&#xff08;比较设定和现状&#xff0c;不匹配就转&#xff09; 小车…

STM32自学☞PWM驱动LED呼吸灯

常用函数简单说明 ①用来配置输出比较模块 TIM_OC1Init() &#xff08;初始化&#xff09; TIM_OC2Init() TIM_OC3Init() TIM_OC4Init() ②TIM_OCStructInit()&#xff1b;用来给输出比较结构体…

【STM32 CubeMX】SPI_Flash_W25Q64的操作方法

文章目录 前言一、W25Q64操作方法基本概念1.1 读数据1.2 写使能1.3 读状态1.4 擦除扇区1.5 烧写页 总结 前言 在嵌入式系统开发中&#xff0c;使用外部 SPI Flash 存储器可以为 STM32 微控制器提供额外的存储空间&#xff0c;以存储程序代码、配置数据等。W25Q64 是一款常见的…

STM32cubeMX配置FreeRTOS----软件定时器

从这篇文章开始就为大家介绍 FreeRTOS&#xff0c; 让大家快速入门 FreeRTOS。 文章目录 前言一、软件定时器的概念&#xff0c;特性1. 概念 &#xff1a;2. 特性 &#xff1a; 二、STM32cubeMX配置软件定时器三、软件定时器函数1. 创建2. 开启3. 停止4. 删除 四、软件定时器实…

STM32F1 - 系统时钟SysTick

SysTick 1> SysTick硬件框图2> SysTick的时钟源3> 1ms定时_中断方式4> 思考&#xff1a;无符号数 0 - 255 ?相关资料 1> SysTick硬件框图 SysTick属于Cotex-M3&#xff0c;是CPU外设&#xff1b; SysTick: 位宽24bit&#xff0c; 递减计数&#xff0c;自动重装…

正点原子--STM32中断系统学习笔记(1)

1、什么是中断&#xff1f; 原子哥给出的概念是这样的&#xff1a;打断CPU正常执行的程序&#xff0c;转而处理紧急程序&#xff0c;然后返回原暂停的程序继续运行&#xff0c;就叫中断。 当发生中断时&#xff0c;当前执行的程序会被暂时中止&#xff0c;进而进入中断处理函…

C++弹球游戏:Jump Ball Game

一、下载压缩包 请查看网站C弹球游戏&#xff1a;Jump Ball Game并且下载&#xff0c;可以看到如下界面&#xff1a; 二、匹配图标 把压缩包解压了&#xff1a; 右键点击Jump Ball Game.lnk&#xff0c;点击“属性”它将会是我们要运行的文件。 点击“更改图标”&#xff0c;选…

ALINX黑金AXU3EGB 开发板用户手册RS485通信接口图示DI RO信号方向标识错误说明

MAX3485这类RS485芯片&#xff0c;DI是TTL信号输入&#xff0c;RO是TTL信号输出 如下图是MAX3485手册规格书。 因此 ALINX黑金AXU3EGB 用户手册 Page 43页 图 3-11-1 PL 端 485 通信的连接示意图&#xff0c;MAX3485芯片的DI RO信号输入输出标识方向是错误的&#xff0c;应为蓝…

Linux|centos7| rust语言的编译开发环境快速部署

前言&#xff1a; rust语言是干什么的&#xff0c;怎么用这些我就不在这里废话了&#xff0c;免得浪费大家的时间&#xff0c;我目前只知道rust音译为铁锈&#xff0c;它的可执行主程序叫cargo&#xff0c;音译为货物 这个语言和python&#xff0c;Java&#xff0c;go等等语言…

STM32Cubmax stm32f103zet6 SPI通讯

一、基本概念 SPI 是英语 Serial Peripheral interface 的缩写&#xff0c;顾名思义就是串行外围设备接口。是 Motorola 首先在其 MC68HCXX 系列处理器上定义的。 SPI 接口主要应用在 EEPROM&#xff0c; FLASH&#xff0c;实时时 钟&#xff0c; AD 转换器&#xff0c;还有数…

蓝桥杯嵌入式第12届真题(完成) STM32G431

蓝桥杯嵌入式第12届真题(完成) STM32G431 题目 程序 main.c /* USER CODE BEGIN Header */ /********************************************************************************* file : main.c* brief : Main program body**************************…

【STM32 CubeMX】STM32中断体系结构

文章目录 前言一、中断体系的比喻二、中断的内部结构2.1 EXTI触发方式 2.2 NVIC2.3 cpu与中断2.4 外部中断控制器框图上升沿触发选择寄存器屏蔽/使能寄存器等待处理寄存器 2.5 中断优先级 总结 前言 一、中断体系的比喻 STM32中断体系如下图所示&#xff1a; 一座大型建筑物…

stm32 DCMI的知识点

1.DCMI的简介 DCMI全称Digital camera interface&#xff08;数字摄像头接口&#xff09;&#xff0c;是一种可以采集摄像头数据的一种接口。此接口适用于黑白摄像头、X24 和 X5 摄像头&#xff0c;并可以假定所有预处理&#xff08;如调整大小&#xff09;都可以在该摄像头模…

基于stm32的厨房安全系统设计

基于STM32的厨房安全系统设计 摘要 随着智能家居的普及,厨房安全系统成为家庭安全的重要组成部分。本文提出了一种基于STM32的厨房安全系统设计方案,旨在检测厨房内的烟雾、可燃气体和火焰,确保家庭安全。系统通过传感器采集数据,STM32微控制器处理数据,实现报警和远程通…

STM32 基础知识(探索者开发板)--93讲 PWM

预分频器相当于一个计数器&#xff0c;2分频就是接收2个脉冲传递一个脉冲&#xff0c;3分频就是接收3个脉冲传递一个脉冲&#xff0c;最高65535分频&#xff0c;那么总计时间能达到65535*65535*1/72MHZ 约59秒&#xff0c;没有分频器只能计数最高0.09秒 PWM配置步骤 1.配置定时…

普中STM32-PZ6806L开发板(HAL库函数实现-USART1 printf+scanf/gets)

简介 实现printfscanf/gets通过USART1 的发送接收。 电路原理图 板载USB CH340串口电路原理图主芯片串口引脚图 我的板子板子自带串口坏掉了, 所以使用USB转TTL线, 连接如下 电路原理图 实物图 其他知识 scanf是以空白符(空格、制表符、换行等等)为结束标志的,当遇到空白符…

STM32学习笔记十二:WS2812制作像素游戏屏-飞行射击游戏(2)探索时间间隔同步,双向链表

上章我们做好了空间的比例尺&#xff0c;不至于物体定位出错。这次我们尝试一下时间间隔的同步。当然&#xff0c;游戏中需要同步时间的地方很多&#xff0c;这里仅仅涉及很小的一个点。 我们已经创造了玩家飞机&#xff0c;是时候让它能发射子弹了。 发射子弹&#xff0c;哪…

stm32项目(18)——基于stm32的电子钟设计

1.功能设计 使用某原子开发板&#xff08;精英板&#xff09;&#xff0c;显示器使用TFT LCD液晶屏幕&#xff0c;实现了实时时钟的功能。实物图如下&#xff1a; 其主要就是用了单片机的定时器模块&#xff0c;在定时器中断服务函数里面&#xff0c;对相应的变量进行 2.模块介…

关于大一上学期STM32培训的经验及教训(完全初学)

主要是写出来给要直接学习STM32的人的一些经验或者是教训以及踩坑点&#xff0c;我后续也会开始写STM32的一些我已经学会的基础性的初学者应用型教程&#xff08;如没有前置知识点亮LED&#xff0c;我会在这里说GPIO是个啥&#xff0c;怎么选口&#xff0c;怎么查手册等基础入门…

嵌入式开发——ADC开发

学习目标 了解ADC开发流程掌握采样方式能够使用ADC进行芯片内部通道进行采样能够使用ADC对外部电路进行采样学习内容 GD32F4的ADC 特点: 16个外部模拟输入通道;1个内部温度传感通道(VSENSE);1个内部参考电压输入通道(VREFINT);1个外部监测电池VBAT供电引脚输入通道。ADC开…

基于stm32单片机智能储物柜系统设计

超市、体育馆及浴池等公共超市都为人们提供了储物柜&#xff0c;一些无法随身携带的书包、手机等物品可存放在储物柜中。当前绝大多数的储物柜都是采用打印条码的方式完成储物柜的开启&#xff0c;之后可使用打印条码经扫描后开启储物柜拿取个人物品。但是打印条码的纸张为又小…

stm32与python端进行串口收发

1-1 串口发送端&#xff08;stm32&#xff09; 1字符串发送 /************状态&#xff1a;电机速度、位置和角位移传感器的速度、位置*****************/ // 字符串收发&#xff1a;已调试成功motor_position Read_Encoder_Angle(Encoder);sensor_position Get_Adc_Ave…

使用串口 DMA 模式接收不定长数据

一、简介 曾经遇到客户有一个需求&#xff0c;需要用串口 DMA 的方式接收不定长度的数据&#xff0c;DMA 有个缺点就是在每次传输前需要设定好传输的字节长度&#xff0c;这种方式显然对于接收不定长度的数据来说没有那么灵活。但 DMA 也有着显著的优点&#xff0c;如可直接访…

【STM32】STM32学习笔记-ADC单通道 ADC多通道(22)

00. 目录 文章目录 00. 目录01. ADC简介02. ADC相关API2.1 RCC_ADCCLKConfig2.2 ADC_RegularChannelConfig2.3 ADC_Init2.4 ADC_InitTypeDef2.5 ADC_Cmd2.6 ADC_ResetCalibration2.7 ADC_GetResetCalibrationStatus2.8 ADC_StartCalibration2.9 ADC_GetCalibrationStatus2.10 A…

嵌入式——循环队列

循环队列 (Circular Queue) 是一种数据结构(或称环形队列、圆形队列)。它类似于普通队列,但是在循环队列中,当队列尾部到达数组的末尾时,它会从数组的开头重新开始。这种数据结构通常用于需要固定大小的队列,例如计算机内存中的缓冲区。循环队列可以通过数组或链表实现,…

RT_Thread 调试笔记:时间相关,时钟管理函数,延时,定时器、 毫秒转换为时分秒 等

说明&#xff1a;记录日常使用 RT_Thread 开发时做的笔记。 持续更新中&#xff0c;欢迎收藏。 1. 延时函数 1. us延时函数 rt_hw_us_delay(rt_uint32_t us);//输如数据是us rt_hw_us_delay(200);//输入数据是us 2. ms延时函数 rt_thread_mdelay(1000);//输入数据是ms 2…

STM32——高级定时器输出指定个数PWM波原理及实战

1.高级定时器简介&#xff08;TIM8、TIM1&#xff09; 相比于通用定时器特性&#xff1a; 1&#xff09;重复计数器 2&#xff09;死区时间带可编程的互补输出 3&#xff09;断路输入&#xff0c;用于将定时器的输出信号置于用户可选的安全配置中 2.高级定时器框图 3.重复计数…

【经典整理】90款行业常用单片机传感器代码例程(驱动代码+原理图+说明书)【STM32、STC89C52、arduino单片机适用】网盘下载

网盘链接&#xff1a;https://pan.baidu.com/s/1Sxofh0pmwxxl_bnSraXaBA?pwd1688 提取码&#xff1a;1688 90款传感器例程 [20A电流传感器] [5A电流传感器模块] [9轴姿态传感器模块BN0055] [ADXL335 模拟量加速度模块] [APDS9900数字环境光传感器] [CCS811空气质量传感器] [C…

嵌入式科普(9)vscode无法跳转和恢复默认配置

一、目的/概述 二、解决办法 2.1 使能Intelli Sense Engine 2.2 vscode恢复默认配置 2.3 c/c与clangd冲突 嵌入式科普(9)vscode无法跳转和恢复默认配置 一、目的/概述 1、2024年的第一天突然vscode无法跳转,莫名其妙 2、尝试了各种设置和插件都无效&#xff0c;卸…

STM32CubeMX+STM32F4系列教程文章汇总贴

目录 1、前言 2、食用方法 3、文章目录 4、后记 1、前言 欢迎各位读者点击本系列教程&#xff0c;本系列教程主要讲解STM32CubeMX配置STM32系列单片机实现外设各种功能&#xff0c;共包括24篇文章&#xff0c;囊括了STM32系列单片绝大多数常见外设&#xff0c;笔者虽然使用…

基于stm32单片机智能洗衣机系统设计

目前洗衣机已成为人们日常清洁衣物的主要手段&#xff0c;过去的洗衣机多采用机械式洗涤的模式&#xff0c;存在着控制方式单一&#xff0c;无法控制水量的多少和未实现自动进水等问题。随着物联网和传感器在多个行业更为广泛的应用和技术上的发展&#xff0c;为洗衣机实现智能…

STM32的FMC独立管理和控制外部存储器

在STM32中&#xff0c;FMC&#xff08;Flexible Memory Controller&#xff09;是一个功能强大的外部存储器控制器&#xff0c;用于管理和控制外部存储器设备&#xff0c;如SRAM、SDRAM、NOR Flash等。FMC允许将多个存储器设备连接到微控制器&#xff0c;并通过不同的片选线进行…

嵌入式-Stm32-江科大基于寄存器点亮LED灯

文章目录 前言&#xff1a;一&#xff1a;搭建基于寄存器控制LED的工程二&#xff1a;用江科大的STM32板子实现基于寄存器点亮LED灯三&#xff1a;用非江科大stm32板子实现基于寄存器点亮LED灯道友&#xff1a;一星陨落&#xff0c;黯淡不了星空灿烂&#xff1b;一花凋零&#…

【正点原子STM32连载】 第二十九章 睡眠模式实验 摘自【正点原子】APM32E103最小系统板使用指南

1&#xff09;实验平台&#xff1a;正点原子APM32E103最小系统板 2&#xff09;平台购买地址&#xff1a;https://detail.tmall.com/item.htm?id609294757420 3&#xff09;全套实验源码手册视频下载地址&#xff1a; http://www.openedv.com/docs/boards/xiaoxitongban 第二…

【STM32】STM32学习笔记-USART串口收发HEX和文本数据包(29)

00. 目录 文章目录 00. 目录01. 串口简介02. 串口收发HEX数据包接线图03. 串口收发HEX数据包示例104. 串口收发HEX数据包示例205. 串口收发文本数据包接线图06. 串口收发文本数据包示例07. 程序示例下载08. 附录 01. 串口简介 串口通讯(Serial Communication)是一种设备间非常…

【郑益慧】4.二极管的微变等效和稳压管

二极管的微变等效和稳压管 二极管的微变等效&#xff08;正向特性&#xff09; 下图红点标记位置&#xff1a;可以计算出这里得直流电阻 这里的斜率就近似于于交流电流 根据id的变化量比上ud的变化量&#xff0c;就是求这段曲线的斜率。也就是求导 动态电阻rd&#xff08;二…

Cortex-M3/M4内核中断及HAL库函数详解(1):中断相关寄存器

0 工具准备 Keil uVision5 Cortex M3权威指南&#xff08;中文&#xff09; Cortex M3与M4权威指南 stm32f407的HAL库工程 STM32F4xx中文参考手册 1 NVIC相关寄存器介绍 在Cortex-M3/M4内核上搭载了一个异常响应系统&#xff0c;支持为数众多的系统异常和外部中断。其中&#…

第15届蓝桥杯嵌入式省赛准备第三天总结笔记(使用STM32cubeMX创建hal库工程+串口接收发送)

因为我是自己搞得板子&#xff0c;原本的下程序和串口1有问题&#xff0c;所以我用的是串口2&#xff0c;用的PA2和PA3 一&#xff0c;使用CubeMX配置串口 选择A开头的这个是异步通信。 配置串口参数&#xff0c;往届的题基本用的9600波特率&#xff0c;所以我这里设置为9600…

1902_野火FreeRTOS教程内核在STM32中用到的2个中断PENDSV和SYSTICK

1902_野火FreeRTOS教程内核在STM32中用到的2个中断PENDSV和SYSTICK 全部学习汇总&#xff1a; g_FreeRTOS: FreeRTOS学习笔记 (gitee.com) 上面是涉及到的源代码&#xff0c;而这次需要分析的就是78、79行的两个中断。首先&#xff0c;需要确认NVIC_SYSPRI2寄存器的作用。 进一…

HAL STM32 HW I2C DMA + SSD1306/SH1106驱动示例

HAL STM32 HW I2C DMA SSD1306/SH1106驱动示例 &#x1f4cd;硬件I2C DMA驱动参考&#xff1a;https://blog.csdn.net/weixin_45065888/article/details/118225993 &#x1f516;本工程基于STM32F103VCT6&#xff0c;驱动程序独立&#xff0c;可以移植到任意STM32型号上使用。…

HAL/LL/STD STM32 U8g2库 +I2C SSD1306/sh1106 WouoUI磁贴案例

HAL/LL/STD STM32 U8g2库 I2C SSD1306/sh1106 WouoUI磁贴案例 &#x1f4cd;基于STM32F103C8T6 LL库驱动版本&#xff1a;https://gitee.com/chcsx/platform-test/tree/master/MDK-ARM&#x1f3ac;视频演示&#xff1a; WouoUI移植磁贴案例&#xff0c;新增确认弹窗 &#x1f…

STM32-启用蜂鸣器

目录 1 、电路构成及原理图 2、编写实现代码 main.c beep.c beep.h 3、代码讲解 4、 烧录到开发板调试、验证代码 5、检验效果 本人使用的是朗峰 STM32F103 系列开发板&#xff0c;此笔记基于这款开发板记录。 1 、电路构成及原理图 首先&#xff0c;通过朗峰 F1 开…

单片机stm32智能鱼缸

随着我国经济的快速发展而给人们带来了富足的生活&#xff0c;也有越来越多的人们开始养鱼&#xff0c;通过养各种鱼类来美化居住环境和缓解压力。但是在鱼类饲养过程中&#xff0c;常常由于鱼类对水质、水位及光照强度有着很高的要求&#xff0c;而人们也由于工作的方面而无法…

江科大stm32学习笔记——【4-1】OLED

一.原理 1.调试方式 串口调试&#xff1a;通过串口通信&#xff0c;将调试信息发送到电脑端&#xff0c;电脑使用串口助手显示调试信息。 显示屏调试&#xff1a;直接将显示屏连接到单片机&#xff0c;将调试信息打印在显示屏上。 Keil调试模式&#xff1a;借助Keil软件的调…

STM32 学习(四)中断系统

一、中断系统介绍 众所周知&#xff0c;轮询是 CPU 通过不断地查询某个外部设备的状态&#xff0c;如果外部设备准备好&#xff0c;就可以向其发送数据或者读取数据&#xff0c;这种方式由于CPU不断查询总线&#xff0c;导致指令执行受到影响&#xff0c;效率非常低。 而与之…

rtthread stm32h743的使用(三)uart串口设备使用

我们要在rtthread studio 开发环境中建立stm32h743xih6芯片的工程。我们使用一块stm32h743及fpga的核心板完成相关实验&#xff0c;核心板如图&#xff1a; 1.建立新工程&#xff0c;选择相应的芯片型号及debug引脚及调试器 2.打开cubemux&#xff0c;设置外部时钟及串口外设…

STM32------分析GPIO寄存器

一、初始LED原理图 共阴极led LED发光二极管&#xff0c;需要有电流通过才能点亮&#xff0c;当有电压差就会产生电流 二极管两端的电压差超过2.7v就会有电流通过 电阻的作用 由于公式IV/R 不加电阻容易造成瞬间电流无穷大 发光二极管工作电流为10-20MA 3.3v / 1kΩ 3.…

江科大stm32学习笔记——【3-2】GPIO输出:LED闪烁LED流水灯蜂鸣器

&#xff08;一&#xff09; 硬件连接 1.LED闪烁 LED灯正极连接面包板电源正极&#xff0c;LED负极连接单片机A0口 (也可以LED负极连面包板负极&#xff0c;LED正极连接单片机A0口) 跳线连接单片机3.3和面包板正极&#xff0c;连接单片机GND和面包板负极 2.LED流水灯 3.蜂鸣…

STM32F4XX - uart设置

初始化一个波特率为115200的串口。下面函数参数为115200. 代码如下&#xff1a; void uart1_init(u32 bound) {GPIO_InitTypeDef GPIO_InitStructure;USART_InitTypeDef USART_InitStructure;NVIC_InitTypeDef NVIC_InitStructure;RCC_AHB1PeriphClockCmd(RCC_AHB1Periph_GPIO…

[嵌入式软件][启蒙篇][仿真平台] STM32F103实现串口输出输入、ADC采集

上一篇&#xff1a;[嵌入式软件][启蒙篇][仿真平台] STM32F103实现LED、按键 文章目录 一、串口输出(1) 简介(2) 示例代码(3) 仿真效果 二、串口输入(1) 简介(2) 示例代码(3) 仿真效果 三、ADC采集(1) 简介(2) 示例代码&#xff08;电压&#xff09;(3) 仿真效果 &#xff08;…

STM32之RTC实时时钟

一、实时时钟概述 1、实时时钟介绍 英文缩写&#xff1a;RTC。显示年、月、日、时、分、秒、星期,自动计算闰年&#xff0c;能够区分每个月的天数。 RTC特点&#xff1a;能从RTC获取到具体的日期时间&#xff0c;断掉后再开机时间仍然准确&#xff08;需要纽扣电池&#xff…

【江科大】STM32:(超级详细)定时器输出比较

文章目录 输出比较单元特点 高级定时器&#xff1a;均有4个通道 PWM简介PWM&#xff08;Pulse Width Modulation&#xff09;脉冲宽度调制输出比较通道PWM基本结构基本定时器 参数计算捕获/比较通道的输出部分详细介绍如下&#xff1a; 舵机介绍硬件电路 直流电机介绍&#xff…

【江科大】STM32:定时器中断

文章目录 TIM&#xff08;Timer&#xff09;定时器根据复杂度和应用场景分为了高级定时器、通用定时器、基本定时器三种类型基本定时器通用定数器 高级定时器 时钟&#xff08;时钟电路&#xff09;的作用是什么&#xff1a;设置定时器触发中断普通方法&#xff1a;预分频器时序…

STM32单片机学习5--STM32中断

文章目录 一、前言二、NVIC中断控制器2.1、NVIC结构体成员2.2、抢占优先级和响应优先级2.3、NVIC的优先级组 三、EXTI外部中断四、中断实战4.1、确定连线4.2、配置中断控制端口4.3、配置中断端口4.4、配置中断服务函数4.5、主函数调用 一、前言 单片机无系统执行逻辑&#xff…

【STM32】USB程序烧录需要重新上电 软件复位方法

文章目录 一、问题二、解决思路2.1 直接插拔USB2.2 给芯片复位 三、解决方法3.1 别人的解决方法3.2 在下载界面进行设置 一、问题 最近学习STM32的USB功能&#xff0c;主要是想要使用虚拟串口功能&#xff08;VCP&#xff09;&#xff0c;发现每次烧录之后都需要重新上电才可以…

【STM32】STM32F4中USB的CDC虚拟串口(VCP)使用方法

文章目录 一、前言二、STM32CubeMX生成代码2.1 选择芯片2.2 配置相关模式2.3 设置时钟频率2.4 生成代码2.5 编译并下载代码2.6 结果2.7 问题 三、回环测试3.1 打开工程3.2 添加回环代码3.3 编译烧录并测试 四、出现问题和解决方法4.1 烧录总是要自己插拔USB4.2 自己生成的工程没…

STM32F407移植OpenHarmony笔记2

接上篇&#xff0c;搭建完开发环境后&#xff0c;我们还要继续工作。 官方合作的开发板刚好有STM32F407&#xff0c;我准备试一下开发板的demo&#xff0c;虽然我用的不是他们的开发板。 先下载以下3份代码&#xff1a; https://gitee.com/openharmony/device_board_talkweb…

STM32-LwESP 移植

LwESP 是一个专门解析 Espressif 公司旗下 ESP 系列芯片 AT 指令的开源库&#xff0c;具有以下特性&#xff1a; 支持 Espressif 公司 ESP32, ESP32-C2, ESP32-C3, ESP32-C6 和 ESP8266 芯片。独立平台&#xff0c;采用 C99 标准编写&#xff0c;易于移植。允许不同的配置来优…

【数据结构】分治策略

现场保护和现场恢复 文章目录 分治策略分治法解决问题有以下四个特征&#xff1a;分治法步骤: 递归&#xff1a;解决以下问题&#xff1a;倒序输出整数求最大公约数&#xff08;递归和非递归&#xff09;菲波那切数列 不要尝试间接 要使用直接递归&#xff08;自己调用自己&am…

verilog编程之乘法器的实现

知识储备 首先来回顾一下乘法是如何在计算机中实现的。 假设现在有两个32位带符号定点整数x和y&#xff0c;我们现在要让x和y相乘&#xff0c;然后把乘积存放在z中&#xff0c;大家知道&#xff0c;两个32位数相乘&#xff0c;结果不会超过64位&#xff0c;因此z的长度应该为64…

STM32学习笔记(三) —— GPIO点亮LED

1.GPIO简介 GPIO&#xff0c;全称是General-purpose input/output&#xff08;通用输入输出&#xff09;。在单片机中是表示能被控制的引脚&#xff0c;能检测输入信号的高低电平&#xff0c;也能输出高低电平控制外部设备。STM32F103RCT6一共有64个引脚&#xff0c;其中有51个…

STM32 4位数码管和74HC595

4位数码管 在使用一位数码管的时候&#xff0c;会用到8个IO口&#xff0c;那如果使用4位数码管&#xff0c;难道要使用32个IO口吗&#xff1f;肯定是不行的&#xff0c;太浪费了IO口了。把四个数码管全部接一起共用8个IO口&#xff0c;然后分别给他们一个片选。所以4位数码管共…

有方机器人 STM32智能小车 项目学习笔记2

今天是学习有方机器人课程的第二天&#xff0c;通过课程复习GPIO相关知识点&#xff0c;很有收获&#xff0c;老师讲的非常好&#xff01; 处理器控制硬件原理 处理器在日常工作时有两种功能&#xff0c;第一个是负责基本的数据运算和逻辑运算&#xff0c;第二是控制硬件外设。…

【嵌入式】STM32控制脉冲个数

控制脉冲个数两种方式:中断技术、主从定时器技术。 1.主从模式控制 2.cubemx配置 2.1主定时器 以TIM3为例子。 1)从模式:失能; 2) 触发源:不选择; 3)内部时钟:勾选; 4)输出通道:CH2 pwm模式; 5)单脉冲模式:不选择;

stm32——hal库学习笔记(IIC)

一、IIC总线协议介绍&#xff08;掌握&#xff09; 二、AT24C02介绍&#xff08;了解&#xff09; 三、AT24C02读写时序&#xff08;掌握&#xff09; 四、AT24C02驱动步骤&#xff08;掌握&#xff09; 五、编程实战&#xff08;掌握&#xff09; myiic.c #include "./B…

STM32标准库——(14)I2C通信协议、MPU6050简介

1.I2C通信 I2C 通讯协议(Inter&#xff0d;Integrated Circuit)是由Phiilps公司开发的&#xff0c;由于它引脚少&#xff0c;硬件实现简单&#xff0c;可扩展性强&#xff0c; 不需要USART、CAN等通讯协议的外部收发设备&#xff0c;现在被广泛地使用在系统内多个集成电路(IC)间…

9 STM32标准库函数 之 独立看门狗(IWDG)所有函数的介绍及使用

9 STM32标准库函数 之 独立看门狗&#xff08;IWDG&#xff09;所有函数的介绍及使用 1. 图片有格式该文档修改记录&#xff1a;总结 函数描述格式&#xff1a; 函数名外设函数的名称函数原形原形声明功能描述简要解释函数是如何执行的输入参数{x}输入参数描述输出参数{x}输出…

QT文件IO

七、文件IO QFileDialog文件对话框 与QMessageBox一样&#xff0c;QFileDialog也继承了QDialog类&#xff0c;直接使用静态成员函数弹窗&#xff0c;弹窗的结果&#xff08;选择的文件路径&#xff09;通过函数返回值返回。 // 获取一个打开或保存的文件路径 // 参数1&#xff…

STM32 串口通信

串口发原理 在stm32每个串口内部有发送寄存器和发送移位寄存器。 当调用HAL_UART_Transmit 时&#xff0c;cpu会将发送的数据放入发送寄存器中。发送移位寄存器会将数据转换成电平的高低&#xff0c;从TX发出。 1、轮询模式配置、发送与接收 轮询模式时cpu会不断检测发送数…

STM32FreeRTOS任务通知(STM32cube高效开发)

文章目录 一、任务通知(一&#xff09;任务通知概述1、任务通知可模拟队列和信号量2、任务通知优势和局限性 (二) 任务通知函数1、xTaskNotify&#xff08;&#xff09;发送通知值不返回先前通知值的函数2、xTaskNotifyFromISR&#xff08;&#xff09;发送通知函数ISR版本3、x…

【STM32+HAL】七针OLED(SSD1306)配置(SPI版)

一、前言 关于四针OLED的I2C版配置方式&#xff0c;请转至【STM32HAL】OLED显示初始化配置 二、实现功能&#xff1a; 用SPI通信方式初始化OLED显示&#xff08;相较于I2C速度更快&#xff09; 三、方法一&#xff1a;硬件SPI通信 1、打开SPI通信&#xff08;仅传输&#xf…

stm32消息和邮箱使用

邮箱管里介绍 邮箱是C/OS-II中另一种通讯机制,它可以使一个任务或者中断服务子程序向另一个任务发送一个指针型的变量。该指针指向一个包含了特定“消息”的数据结构。为了在C/OS-II中使用邮箱,必须将OS_CFG.H中的OS_MBOX_EN常数置为1。使用邮箱之前,必须先建立该邮箱。该操…

Linux第68步_旧字符设备驱动的一般模板

file_operations结构体中的函数就是我们要实现的具体操作函数。 注意&#xff1a; register_chrdev()和 unregister_chrdev()这两个函数是老版本驱动使用的。现在新字符设备驱动已经不再使用这两个函数&#xff0c;而是使用Linux内核推荐的新字符设备驱动API函数。 1、创建C…

[嵌入式软件][启蒙篇][仿真平台] STM32F103实现SPI控制OLED屏幕

上一篇&#xff1a; [嵌入式软件][启蒙篇][仿真平台] STM32F103实现LED、按键 [嵌入式软件][启蒙篇][仿真平台] STM32F103实现串口输出输入、ADC采集 [嵌入式软件][启蒙篇][仿真平台]STM32F103实现定时器 [嵌入式软件][启蒙篇][仿真平台] STM32F103实现IIC控制OLED屏幕 文章目…

STM32F407ZGT6——10-1高级定时器输出指定个数 PWM 实验

一、高级定时器简介 高级定时器的框图和通用定时器框图很类似&#xff0c;只是添加了其它的一些功能&#xff0c;如&#xff1a;重复计数器、带死区控制的互补输出通道、断路输入等。 高级定时器的时钟来自APB2, 而PCLK2 168Mhz, 我们设置PPRE2不分频, 因此高 级定时器时钟 1…

嵌入式——窗口看门狗(WWDG)补充

目录 一、独立看门狗与窗口看门狗 1.功能描述 2.两者区别 二、WWDG功能描述 1.窗口看门狗时钟 2.计数器时钟 3. 计数器 4.窗口值 三、WWDG超时时间 一、独立看门狗与窗口看门狗 1.功能描述 STM32有两个看门狗&#xff1a;一个是独立看门狗&#xff08;IWDG&#xff0…

STM32实现软件IIC协议操作OLED显示屏(2)

时间记录&#xff1a;2024/1/27 一、OLED相关介绍 &#xff08;1&#xff09;显示分辨率128*64点阵 &#xff08;2&#xff09;IIC作为从机的地址0x78 &#xff08;3&#xff09;操作步骤&#xff1a;主机先发送IIC起始信号S&#xff0c;然后发送OLED的地址0x78&#xff0c;然…

STM32读取MPU6050数据并通过角度值控制舵机运动(STM32、GY-521 MPU6050、SG90舵机、MG946舵机)

通过STM32F103C8T6读取MPU6050数据控制舵机运动&#xff08;STM32、GY-521 MPU6050、SG90舵机、MG946舵机&#xff09; 最终现象一、MPU6050数据读取二、舵机控制原理①什么是PWM&#xff1f;②STM32F103C8T6如何生成PWM&#xff1f;③控制舵机需要什么样的PWM波&#xff1f; 三…

基于cubeMX的正点原子miniSTM32对W25Q64的存储使用

一、实现目标 使用cubeMX建立项目工程&#xff0c;结合正点原子提供的hal库对W25Q64闪存调用的例程&#xff0c;实现W25Q64的读写。 二、实现过程 1、首先建立cubeMX工程&#xff0c;其他项设置不再叙述&#xff0c;只看连接W25Q64的SPI设置&#xff0c;这里使用SPI1&#xf…

STM32——感应开关盖垃圾桶

STM32——感应开关盖垃圾桶 1.定时器介绍 软件定时 缺点&#xff1a;不精确、占用CPU资源 void Delay500ms() //11.0592MHz {unsigned char i, j, k;_nop_();i 4;j 129;k 119;do{do{while (--k);} while (--j);} while (--i); }定时器工作原理 使用精准的时基&#xff…

ESP8266 控制之 : 使用 RingBuffer USART1 和 USART3互传

简介 使用Buffer来避免数据的丢失, 或许你自己在使用串口进行收发时会丢失数据, 现在我们就来简单使用一下RingBuffer创建Rx、Tx的Buffer来避免发送接收丢包或数据丢失问题。 扩展知识 RingBuffer的介绍, 看完大概也就知道了&#xff0c;实在不知道就看看下面的代码 线路连接…

深入理解STM32中断处理机制

深入理解STM32中断及其使用方法&#xff08;基于HAL库&#xff09; STM32微控制器作为一款强大的嵌入式系统芯片&#xff0c;在各种应用中都需要使用中断来实现实时响应和处理各种事件。本文将深入讨论STM32中断的概念、HAL库的中断处理机制以及如何在STM32CubeMX中配置和使用…

点灯大师(STM32)

这段代码是用于STM32F10x系列微控制器的C语言程序&#xff0c;目的是初始化GPIOC的Pin 13为输出&#xff0c;并设置其输出高电平。以下是对代码的逐行解释&#xff1a; #include "stm32f10x.h" 这一行引入了STM32F10x设备的头文件&#xff0c;包含了用于STM32F10x系…

STM32控制DHT11温湿度传感器模块获取温湿度数据

时间记录&#xff1a;2024/1/29 一、DHT11引脚介绍 &#xff08;1&#xff09;VCC&#xff1a;电源引脚&#xff0c;3.3-5.5V &#xff08;2&#xff09;DATA&#xff1a;数据输入输出引脚 &#xff08;3&#xff09;NC&#xff1a;保留引脚&#xff0c;悬空即可 &#xff08;…

嵌入式-stm32-江科大-EXTI外部中断

一&#xff1a;EXTI外部中断&#xff08;external interrupt&#xff09; 1.1 STM32 中断系统 中断是指在主程序运行过程中&#xff0c;出现了特定的中断触发条件&#xff08;中断源&#xff09;&#xff0c;使得CPU暂停当前的程序&#xff0c;转而去处理中断程序&#xff0c;…

STM32——I2C

通信协议见&#xff08;STM32——SPI&#xff09; 一、I2C协议 1.1 I2C协议介绍&#xff1b; I2C是&#xff08;Inter IC Bus&#xff09;是由Philips公司开发的一种通用数据总线&#xff1b; 有多根通信线&#xff1b; 一根SDA&#xff08;串行通信线&#xff09;&#xf…

HAL STM32基于系统滴答定时器(SysTick)实现多任务时间片轮询

HAL STM32基于系统滴答定时器&#xff08;SysTick&#xff09;实现多任务时间片轮询 &#x1f4d1;RTOS&#xff08;实时操作系统&#xff09;和定时器时间片轮询是两种不同的任务调度和执行方式的差异简介 &#x1f516; 以下部分内容&#xff0c;由AI给出的解答&#xff1a; …

STM32——点灯

STM32——点灯 1.开发准备 开发环境:keil5&#xff0c;STM32CubeMX 开发语言:C语言 烧录工具:ST-Link 库函数:HAL库 2.点灯 常用的GPIO HAL库函数&#xff1a; //GPIO初始化 void HAL_GPIO_Init(GPIO_TypeDef *GPIOx, GPIO_InitTypeDef *GPIO_Init); //调制电平 void H…

rust嵌入式开发补充

本文是对rust嵌入式开发补充的补充&#xff0c;就当时遗留的一些问题进行增补与修正。 RTIC中的任务处理 在上篇文章中还不是很理解rtic的工作机制。但写东东进行总结的好处就体现出来了&#xff0c;在上篇文章中提到了rtic的app入口本就是一个进程宏&#xff0c;所以在写完文…

stm32 AW9523B IO扩展芯片扩展io口驱动程序

1、核心驱动为&#xff1a; &#xff08;1&#xff09;.c 文件 typedef struct {uint8_t InputReg[GPIO_PORT_NUM];uint8_t OutputReg[GPIO_PORT_NUM];uint8_t ConfigReg[GPIO_PORT_NUM];uint8_t IntReg[GPIO_PORT_NUM]; }AW95_REG_T;typedef struct {uint8_t IcId;uint8_t I…

BLDC 电机和 PMSM 的结构区别

BLDC 电机和 PMSM 的结构类似&#xff0c;其永磁体均置于转子&#xff0c;并被定义为同步电机。在同步电机中&#xff0c;转子与定子磁场同步&#xff0c;即转子的旋转速度与定子磁场相同。它们的主要区别在于其反电动势&#xff08;反 EMF&#xff09;的形状。电机在旋转时充当…

基于STM32的CMT液晶屏控制器驱动程序设计与优化

本文以STM32微控制器为基础&#xff0c;设计并优化了一个用于控制CMT液晶屏的驱动程序。在设计过程中&#xff0c;我们首先介绍了液晶屏的基本工作原理&#xff0c;包括CMT液晶屏的结构和信号传输机制。然后&#xff0c;我们详细讨论了STM32微控制器的GPIO、SPI和DMA模块的特性…

BP-TWR-50使用教程

链接检索 BP-TWR-50使用 [DWM1000 定位操作流程–蓝点无限] 上位机软件 [开源项目] 蓝点无限 UWB Python版本上位机 上位机工作流程 【新提醒】求一个小车轨迹追踪上位机设计论文的上位机软件部分怎么写&#xff01;&#xff01;&#xff01; - 问答社区 51uwb.cn 测距原理 [D…

STM32学习笔记(五) —— 按键翻转LED

前面我们分析过GPIO的各个寄存器&#xff0c;探讨了如何使用GPIO点亮LED&#xff0c;这里再验证一下GPIO的输入功能 1.硬件连接 我们在开发板上将按键连接到了PA0引脚&#xff0c;按键外接了上拉电阻&#xff0c;默认状态下PA0引脚处于高电平&#xff0c;当按键按下&#xff0…

IIC学习之SHT30温湿度传感器(基于STM32)

简介 附上SHT30资料和逻辑分析仪源文件&#xff0c;点击下载 关于IIC的介绍网上已经非常详尽&#xff0c;这里只说重点&#xff1a; 双线&#xff08;SDA&#xff0c;SCL&#xff09;&#xff0c;半双工采用主从结构&#xff0c;支持一主多从&#xff0c;通过地址寻址&#…

STM32F103C8驱动MPU6050姿态与tofsense报警 (一)

本工程是实现STM32F103C8获取 mpu6050欧拉角(pitch ,roll,yow) mpu6050自带的dmp 第一步&#xff1a;设置串口 #if EN_USART1_RX //如果使能了接收 //串口1中断服务程序 //注意,读取USARTx->SR能避免莫名其妙的错误 u8 USART_RX_BUF[USART_REC_LEN]; //接收…

【stm32】hal库-双通道ADC采集

【stm32】hal库-双通道ADC采集 CubeMX图形化配置 程序编写 /* USER CODE BEGIN PV */ #define BATCH_DATA_LEN 1 uint32_t dmaDataBuffer[BATCH_DATA_LEN]; /* USER CODE END PV *//* USER CODE BEGIN 2 */lcd_init();lcd_show_str(10, 10, 24, "Demo14_4:ADC1 ADC2 S…

stm32——hal库学习笔记(DMA实验)

一、DMA介绍&#xff08;了解&#xff09; 二、DMA结构框图介绍&#xff08;熟悉&#xff09; 三、DMA相关寄存器介绍&#xff08;熟悉&#xff09; 四、DMA相关HAL库驱动介绍&#xff08;掌握&#xff09; 五、DMA配置步骤&#xff08;掌握&#xff09; 六、编程实战&#xff…

【STM32】STM32学习笔记-读写备份寄存器和实时时钟(43)

00. 目录 文章目录 00. 目录01. BKP简介02. RTC简介03. BKP相关API3.1 BKP_ReadBackupRegister3.2 BKP_WriteBackupRegister3.3 PWR_BackupAccessCmd 04. RTC相关API4.1 RCC_LSEConfig4.2 RCC_GetFlagStatus4.3 RCC_RTCCLKConfig4.4 RCC_RTCCLKCmd4.5 RTC_WaitForSynchro4.6 RT…

STM32控制气泵和电磁阀实现

一、功能简介 使用STM32控制气泵和电磁阀的开和关&#xff0c;气泵和电磁阀的供电电压为12V。 二、实现过程 1、气泵和电磁阀的开和关均为开关量&#xff0c;实现控制方法有多种&#xff0c;比如继电器&#xff0c;但是继电器动作有噪声且体积较大&#xff0c;更好的方法为使…

开放式高实时高性能PLC控制器解决方案-基于米尔电子STM32MP135

前言 随着工业数字化进程加速与IT/OT深入融合&#xff0c;不断增加的OT核心数据已经逐步成为工业自动化行业的核心资产&#xff0c;而OT层数据具备高实时、高精度、冗余度高、数据量大等等特点&#xff0c;如何获取更加精准的OT数据对数字化进程起到至关重要的作用&#xff0c;…

【FreeRTOS】任务创建

参考博客&#xff1a; ESP-IDF FreeRTOS 任务创建分析 - [Genius] - 博客园 (cnblogs.com) 1.什么是任务 1&#xff09;独立的无法返回的函数称为任务 2&#xff09;任务是无线循环 3&#xff09;无返回数据 2.任务的实现过程 1.定义任务栈 裸机程序&#xff1a;统一分配到一…

stm32利用CubeMX实现外部中断触发数码管加减数

首先打开proteus绘制电路图&#xff0c;如下&#xff1a; 然后打开CubeMX&#xff0c;配置晶振和GPIO&#xff1a; 接下来就是生成keil工程文件&#xff0c;用keil打开。 新建一个desplay.h文件&#xff1a;下面是全部代码 #ifndef __DESPLAY_H #define __DESPLAY_H #endif#i…

STM32F10X(Cortex-M3)系统定时器寄存器笔记和系统定时器精准延时函数

Cortex-M3系统定时器寄存器笔记和系统定时器精准延时函数 简介系统定时器寄存器STK_CTRLSTK_LOADSTK_VALSTK_CALIB STM32F10X(Cortex-M3)精准延时函数 简介 在STM32F10X(Cortex-M3)除了通用定时器和看门狗定时器外&#xff0c;还有一个系统定时器(SysTick) 拿STM32F103C8T6来说…

C++ 游戏飞机大战, 字符型的

//#define _CRT_SECURE_NO_WARNINGS 1 用于禁止不安全函数的警告 #include<iostream> #include<stdlib.h> #include<string> #include<conio.h> #include<Windows.h> #include<time.h> #include <graphics.h> using namespace std;…

单片机05__串口USART通信__按键控制向上位机传输字符串

串口USART通信 通用UART介绍 1.通信的概念 计算机与外界进行信息交换的过程称之为通信。 在通信的过程中&#xff0c;通信双方都需要遵守的规则称之为通信协议。 硬件协议&#xff1a;将数据以什么样的方式传输过去 软件协议&#xff1a;将数据以什么样的顺序传输过去 2.常用…

灯丝灯双通道低过温高压线性恒流芯片SM2082ED的应用及特性解析

双通道低过温高压线性恒流芯片是一种电子芯片&#xff0c;它具有双通道设计&#xff0c;可以在高电压条件下工作&#xff0c;并具有低过温特性。这种芯片通常用于需要高电流和高电压的应用&#xff0c;如LED照明、激光器、电机驱动等。 双通道设计意味着该芯片可以同时处理两个…

STM32单片机蓝牙APP空气净化系统甲醛二氧化碳温度SGP30

实践制作DIY- GC0124-蓝牙APP空气净化系统 一、功能说明&#xff1a; 基于STM32单片机设计-蓝牙APP空气净化系统 功能介绍&#xff1a; 硬件组成&#xff1a;STM32F103C最小系统板DS18B20温度传感器OLEDSGP二氧化碳甲醛传感器5V直流风扇多个按键HC-05蓝牙模块&#xff08;仅蓝…

STM32 TIM输出比较、PWM波形

单片机学习&#xff01; 目录 一、输出比较简介 二、PWM简介 三、输出比较通道 3.1通用定时器的输出比较部分电路 3.2高级定时器的输出比较部分电路 四、输出模式控制器 五、PWM基本结构 六、PWM参数计算 总结 前言 文章讲述STM32定时器的输出比较功能&#xff0c;它主…

STM32CubeMX ULN2003步进电机驱动

一、28BYJ-48 步进电机 28BYJ-48是一款5线单极步进电机&#xff0c;运行电压为5V。 根据数据表&#xff0c;当28BYJ-48电机在全步模式下运行时&#xff0c;每步对应于11.25的旋转。这意味着每转有32步 (360/11.25 32)。 如上图所示&#xff0c;步距角5.625/64 意思就是每64个…

STM32 简易智能家居嵌入式系统设计蓝图

声明 本文为物联网产品设计蓝图,不包括程序设计。 文章目录 声明前言一、项目需求1. 1 数据采集1.2 执行器控制1.3 人机交互1.4 功能1.5 场景联动1.6 数据分析二、项目评估2.1 软硬件2.1.1 硬件2.1.2 软件2.2 设备通讯方式及网络协议三、技术预研3.1 MQTT平台评估3.1.1 方案一…

STM正点mini-新建工程模板,GPIO及寄存器(介绍)

一.新建工程模板(基于固件库) 1.1库函数与寄存器的区别 这里的启动文件都是根据容量来进行区分的 对MDK而言即使include了&#xff0c;也不知道在哪里找头文件 STM32F10X_HD,USE_STDPERIPH_DRIVER 二.新建工程模板(基于寄存器) 上面的大部分配置与固件库的一样 具体可以看手…

【STM32】STM32学习笔记-MPU6050简介(32)

00. 目录 文章目录 00. 目录01. MPU6050简介02. MPU6050参数03. MPU6050硬件电路04. MPU6050框图05. MPU6050常用寄存器06. 附录 01. MPU6050简介 •MPU6050是一个6轴姿态传感器&#xff0c;可以测量芯片自身X、Y、Z轴的加速度、角速度参数&#xff0c;通过数据融合&#xff0…

STM32 基本定时器反转LED

引脚是什么为什么要初始化引脚&#xff1f; 在嵌入式系统中&#xff0c;引脚是微控制器或微处理器上的物理引脚&#xff0c;用于连接外部设备、传感器或其他芯片。每个引脚都有特定的功能和用途&#xff0c;例如输入、输出、模拟输入、电源供应等。STM32F103C8T6引脚图&#xf…

基于STM32的智能手环设计与实现

需要原理图工程&#xff0c;源码&#xff0c;PCB工程的朋友收藏&#xff0c;这篇文章关注我&#xff0c;私我吧&#xff01;&#xff01;&#xff01; 基于STM32的智能手环设计与实现 摘要一、研究背景及意义二、实现功能三、系统方案设计系统方案设计框图3.1 单片机芯片选择3…

【STM32CubeMX串口通信详解】USART2 -- DMA发送 + DMA空闲中断 接收不定长数据

&#xff08; 本篇正在编写、更新状态中.....) 文章目录&#xff1a; 前言 前言 本篇&#xff0c;详细地用截图解释 CubeMX 对 USART2 的配置&#xff0c;HAL函数使用&#xff0c;和收发程序的编写。 收、发机制&#xff1a;DMA发送 DAM空闲中断接收。 DMA空…

STM32F407移植OpenHarmony笔记6

继上一篇笔记&#xff0c;编译好STM32的裸机程序&#xff0c;能点亮LED灯了。 下一步就是启动liteos_m内核了。 不过为了更好的调试代码&#xff0c;需要先把printf重定向到串口&#xff0c;基于gcc的printf重定向和Keil不一样。 直接新建printf.c&#xff0c;在里面重写printf…

STM32—系统定时器

1.SysTick简介 SysTick是一种系统定时器&#xff0c;可以用于实现操作系统、任务调度、时钟管理等功能。它通常集成在微控制器中&#xff0c;并且是硬件实现的&#xff0c;具有高精度和可靠性。 在ARM Cortex-M处理器中&#xff0c;SysTick定时器可以配置为计数器模式或外部时…

STM32学习笔记(七) —— DMA传输(MTM)

DMA&#xff0c;全称是Direct Memory Access&#xff08;直接内存访问&#xff09;。可以在存储器和存储器之间或者外设和存储器之间传输数据&#xff0c;而不需要CPU的干预&#xff0c;这样可以节省CPU的资源&#xff0c;提高工作效率。 1.功能框图 STM32F103RCT6有两个DMA控…

STM32(16)使用串口向电脑发送数据

发送字节 发送数组 发送字符和字符串 字符&#xff1a; 字符串&#xff1a; 字符串在电脑中以字符数组的形式存储

STM32CubeMX学习笔记10 ---看门狗

独立看门狗&#xff08;IWDG)由专用的低速时钟&#xff08;LSI&#xff09;驱动&#xff08;40kHz&#xff09;&#xff0c;即使主时钟发生故障它仍有效。独立看门狗适合应用于需要看门狗作为一个在主程序之外 能够完全独立工作&#xff0c;并且对时间精度要求低的场合。窗口看…

STM32(19)I2C模块 主机发数据

发送数据&#xff1a; 等待空闲&#xff1a; 发送起始位&#xff1a; 发送地址&#xff1a; 发送数据&#xff1a;

STM32 学习9 中断、外部中断及定时器中断

STM32 学习9 中断、外部中断及定时器中断 一、STM32中断介绍一、STM32中断介绍1. 什么是中断&#xff1f;2. 中断在嵌入式系统中的作用和重要性3. STM32中断的概述 4. 中断的优先级4.1 中断优先级级别4.2 中断优先级分类&#xff08;1&#xff09;硬件优先级&#xff08;2&…

【STM32】HAL库 CubeMX教程---基本定时器 定时

目录 一、基本定时器的作用 二、常用型号的TIM时钟频率 三、CubeMX配置 四、编写执行代码 实验目标&#xff1a; 通过CUbeMXHAL&#xff0c;配置TIM6&#xff0c;1s中断一次&#xff0c;闪烁LED。 一、基本定时器的作用 基本定时器&#xff0c;主要用于实现定时和计数功能…

微信小程序开发系列(十三)·如何使用iconfont、微信小程序中如何使用字体图标

目录 1. 如何使用iconfont 2. 微信小程序中如何使用字体图标 3. 背景图的使用 1. 如何使用iconfont 在项目中使用到的小图标&#xff0c;一般由公司设计师进行设计&#xff0c;设计好以后上传到阿里巴巴矢量图标库&#xff0c;然后方便程序员来进行使用。 小程序中的字体…

STM32CubeMX学习笔记16--- STM32内部FLASH

1. 内部FLASH简介 之前的文章中介绍过STM32F1利用SPI与外部FLASH&#xff08;W25QXX芯片&#xff09;通讯的例程&#xff0c;本例程将介绍STM32F1的内部FLASH&#xff0c;通过内部FLASH实现数据读写操作。 不同型号的STM32&#xff0c;其FLASH容量也有所不同&#xff0c;最小的…

蓝桥杯物联网竞赛_STM32L071_11_知识体系的查漏与补缺

太久没学单片机了&#xff0c;再重新过一遍查漏补缺&#xff0c;对其中之前没怎么在意的&#xff0c;而现在又发觉的问题进行再分析与补充 1. debug serial wire是干什么用的 这个东西我勾选不勾选都对我的程序没有什么影响&#xff0c;我很好奇是干什么用的&#xff0c;网上查…

STM32FreeRTOS消息队列(STM32Cube高效开发)

文章目录 一、队列&#xff08;一&#xff09;简介&#xff08;二&#xff09;FreeRTOS队列特点1、入队阻塞&#xff1a;队列满了&#xff0c;此时无法继续写入数据2、出队阻塞&#xff1a;队列为空&#xff0c;此时无法读出数据3、入队阻塞解除&#xff0c;有多个任务等待时&a…

【智能家居入门1之环境信息监测】(STM32、ONENET云平台、微信小程序、HTTP协议)

作为入门本篇只实现微信小程序接收下位机上传的数据&#xff0c;之后会持续发布如下项目&#xff1a;①可以实现微信小程序控制下位机动作&#xff0c;真正意义上的智能家居&#xff1b;②将网络通讯协议换成MQTT协议再实现上述功能&#xff0c;此时的服务器也不再是ONENET&…

【STM32+OPENMV】矩形识别

一、准备工作 有关OPENMV最大色块追踪及与STM32通信内容&#xff0c;详情见【STM32HAL】与OpenMV通信 二、所用工具 1、芯片&#xff1a;STM32F103C8T6 2、CUBEMX配置软件 3、KEIL5 4、OPENMV 三、实现功能 寻找黑色矩形&#xff0c;并将最大矩形的四个边缘坐标发送给STM…

微信小程序开发系列(十一)·小程序页面的跳转设置以及参数传递

目录 1. 跳转到商品列表 1.1 url: 当前小程序内的跳转链接 1.2 navigate&#xff1a;保留当前页面&#xff0c;跳转到应用内的某个页面。但是不能跳到 tabbar 页面 1.3 redirect&#xff1a; 关闭当前页面&#xff0c;跳转到应用内的某个页面。但不能跳转到 tabbar 页面…

ROS目标跟随(路径规划、slam、定位、雷达)——接上文,改善跟随的位置

确保进行跟随的小车始终在身后 最终效果代码改进 最终效果 ROS目标跟随改进版 代码改进 这里给出上一篇博客的链接&#xff1a;https://blog.csdn.net/m0_71523511/article/details/135610191 使用上一篇的launch文件创建机器人时&#xff0c;ros会自动创建一个坐标系相对关系…

STM32存储左右互搏 QSPI总线读写FLASH W25QXX

STM32存储左右互搏 QSPI总线读写FLASH W25QXX FLASH是常用的一种非易失存储单元&#xff0c;W25QXX系列Flash有不同容量的型号&#xff0c;如W25Q64的容量为64Mbit&#xff0c;也就是8MByte。这里介绍STM32CUBEIDE开发平台HAL库Qual SPI总线操作W25Q各型号FLASH的例程。 W25Q…

基于stm32架构的温室环境温湿度传感器节点设计

标题:基于STM32架构的温室环境温湿度传感器节点设计 摘要 随着农业现代化的发展,温室种植作为一种有效的农业生产方式越来越受到重视。为了提高温室作物的生长质量和效率,对温室环境的监控和控制变得尤为重要。本论文旨在设计一种基于STM32微控制器架构的温湿度传感器节点…

串口通讯(串行接口通讯)

文章目录 一、串行通讯是什么&#xff1f;二、种类三、并行与串行的区别四、通信方式总结 一、串行通讯是什么&#xff1f; 串行通讯是通信双方按位进行&#xff0c;遵守时序的一种通信方式。 串行通信中&#xff0c;将数据按位依次传输&#xff0c; 每位数据占据固定的时间长…

stm32软件安装以及创建工程

文章目录 前言一、软件安装软件破解 二、创建工程三、创建项目创建组配置启动文件添加到组 为项目添加头文件路径创建源文件&#xff08;main函数文件&#xff09;使用寄存器配置引脚拼接好STLINK与stm32最小电路板的接线编写程序配置STLink下载程序配置寄存器配置13号端口&…

江科大stm32学习笔记10——对射式红外传感器

一、接线 上电之后可以看到对射式红外传感器亮两个灯&#xff0c;如果此时用挡光片挡住两个黑色方块中间的部分&#xff0c;则只亮一个灯。 二、代码 将4-1的工程文件夹复制粘贴一份&#xff0c;重命名为“5-1 对射式红外传感器计次”&#xff0c;打开keil&#xff0c;右键添…

STM32 1-5

目录 STM32简介 点亮PC13LED GPIO LED闪烁 LED流水灯 按键控制LED 光敏传感器控制蜂鸣器 OLED调试工具 OLED显示 EXTI外部中断 对射式红外传感器计次 旋转编码器计次 继续 STM32简介 点亮PC13LED main.c #include "stm32f10x.h" // D…

STM32之IIC总线控制ATC24C04

一、存储器介绍 1、电子密码存储概述 单片机的电子密码存储是一种将密码信息以电子形式存储在单片机内部的技术。它通常用于需要保护敏感信息或限制访问权限的应用程序&#xff0c;如安全系统、门禁系统、电子锁等。 电子密码存储可以通过多种方式实现&#xff0c;以下是其中…

正点原子--STM32中断系统学习笔记(2)

引言 上篇帖子STM32中断系统学习笔记(1)是理论&#xff0c;这篇帖子开始实战&#xff0c;目标是通过按键实现LED的控制。 1.工程建立 以正点原子HAL库 实验1 跑马灯实验为基础&#xff0c;复制工程&#xff0c;在“Drivers--BSP”目录下建立EXTI文件夹&#xff0c;并创建ext…

STM32——USART

一、通信 1.1通信是什么&#xff1b; 通信是将一个设备的数据发送到另一个设备中&#xff0c;从而实现硬件的扩展&#xff1b; 1.2通信的目的是什么&#xff1b; 实现硬件的扩展-在STM32中集成了很多功能&#xff0c;例如PWM输出&#xff0c;AD采集&#xff0c;定时器等&am…

STM32--SPI通信协议(1)SPI基础知识总结

前言 I2C (Inter-Integrated Circuit)和SPI (Serial Peripheral Interface)是两种常见的串行通信协议&#xff0c;用于连接集成电路芯片之间的通信&#xff0c;选择I2C或SPI取决于具体的应用需求。如果需要较高的传输速度和简单的接口&#xff0c;可以选择SPI。如果需要连接多…

江科大stm32学习笔记8——光敏传感器控制蜂鸣器

接线&#xff1a; 光敏传感器上的AO不接。 接好线后上电&#xff0c;可以看到光敏传感器上亮了两个灯&#xff0c;如果只亮一个&#xff0c;可以尝试加大环境光照或者拿螺丝刀拧上面的蓝色方块。用手遮住前面的电阻只亮一个灯。 代码&#xff1a; 复制粘贴3-4的工程文件&…

STM32--SPI通信协议(2)W25Q64简介

一、W25Q64简介 1、W25Qxx中的xx是不同的数字&#xff0c;表示了这个芯片不同的存储容量&#xff1b; 2、存储器分为易失性与非易失性&#xff0c;主要区别是存储的数据是否是掉电不丢失&#xff1a; 易失性存储器&#xff1a;SRAM、DRAM&#xff1b; 非易失性存储器&#xff…

蓝桥杯嵌入式第七届真题(完成) STM32G431

蓝桥杯嵌入式第七届真题(完成) STM32G431 题目 相关文件 main.c /* USER CODE BEGIN Header */ /********************************************************************************* file : main.c* brief : Main program body**********************…

STM32--揭秘中断(简易土货版)

抢占优先级响应优先级 视频学习--中断​​​​​​​

STM32--GPIO那些事

一、八大模式 输出输入推挽输出浮空输入开漏输出上拉输入复用推挽输出下拉输入复用开漏输出模拟输入 二、细说 推挽输出 推挽输出高电平P-MOS激活 N-MOS断开 3.3v低电平P-MOS断开 N-MOS激活 0v优点可以直接输出3.3v缺点只能输出3.3v(外部上拉和下拉的作用是控制在没有输出时IO…

【stm32】hal库学习笔记-ADC模数转换(超详细!)

【stm32】hal库学习笔记-ADC模数转换&#xff08;超详细&#xff01;&#xff09; 本篇章介绍了ADC实现电压检测的三种方式 ADC原理及选型 ADC将连续的模拟电压信号转换为二进制的数字信号 选型参数 速度&#xff08;采样频率&#xff09; 功耗 精度 转换原理 ADC hal库驱…

STM32单片机的基本原理与应用(六)

串口测试实验 基本原理 在串口实验中&#xff0c;是通过mini_USB线搭建终端与电脑端&#xff08;也可称终端&#xff0c;为做区分称电脑端&#xff09;的“桥梁”&#xff0c;电脑端的串口调试助手通过mini_USB线向终端发送信息&#xff0c;由CH340芯片将USB接口进行转换&…

蓝桥杯嵌入式第六届真题(完成)STM32G431

蓝桥杯嵌入式第六届真题&#xff08;完成&#xff09;STM32G431 题目部分 相关文件 main.c /* USER CODE BEGIN Header */ /********************************************************************************* file : main.c* brief : Main program b…

STM32搭建开发环境

常用开发工具简介 集成开发环境 MDK&#xff1a;全名RealViewMDK&#xff0c;是Keil公司&#xff08;已被ARM收购的&#xff09;一款集成开发环境&#xff0c;界面美观&#xff0c;简单易用&#xff0c;是STM32最常用的集成开发环境EWARM&#xff1a;IAR公司的一款集成开发环…

STM32内部Flash

目录 一、内部Flash简介 二、内部Flash构成 1. 主存储器 2. 系统存储区 3. 选项字节 三、内部Flash写入过程 1. 解锁 2. 页擦除 3. 写入数据 四、工程空间分布 某工程的ROM存储器分布映像&#xff1a; 1. 程序ROM的加载与执行空间 2. ROM空间分布表 一、内部Flash…

标准库 STM32+EC11编码器+I2C ssd1306多级菜单例程

标准库 STM32EC11编码器I2C ssd1306多级菜单例程 &#x1f4cc;原创项目来源于&#xff1a;https://github.com/AdamLoong/Embedded_Menu_Simple&#x1f4cd;相关功能演示观看&#xff1a;https://space.bilibili.com/74495335 单片机多级菜单v1.2 &#x1f449;本次采用的是原…

STM32F407 CAN参数配置 500Kbps

本篇CAN参数适用 芯片型号&#xff1a;STM32F407xx系统时钟&#xff1a;168MHz&#xff0c;CAN挂载总线APB1为42M波 特 率 &#xff1a;500Kpbs引脚使用&#xff1a;TX_PB9&#xff0c;RX_PB8&#xff1b;修改为PA11PA12后&#xff0c;参数不变。 步骤一、打勾开启CAN&#xf…

STM32——LCD(1)认识

目录 一、初识LCD 1. LCD介绍 2. 显示器的分类 3. 像素 4. LED和OLED显示器 5. 显示器的基本参数 &#xff08;1&#xff09;像素 &#xff08;2&#xff09;分辨率 &#xff08;3&#xff09;色彩深度 &#xff08;4&#xff09;显示器尺寸 &#xff08;5&#xff…

江科大STM32 终

目录 SPI协议10.1 SPI简介W25Q64简介10.3 SPI软件读写W25Q6410.4 SPI硬件外设读写W25Q64 BKP备份寄存器、PER电源控制器、RTC实时时钟11.0 Unix时间戳代码示例&#xff1a;读写备份寄存器BKP11.2 RTC实时时钟 十二、PWR电源控制12.1 PWR简介代码示例&#xff1a;修改主频12.3 串…

STM32学习笔记——定时器

目录 一、定时器功能概述 1、基本定时器&#xff08;TIM6&TIM7&#xff09; 工作原理 时序 2、通用计时器&#xff08;TIM2&TIM3&TIM4&TIM5&#xff09; 时钟源 外部时钟源模式1&2 外部时钟源模式2 外部时钟源模式1 定时器的主模式输出 输入捕获…

正点原子-STM32通用定时器学习笔记(1)

目录 1. 通用定时器简介&#xff08;F1为例&#xff09; 2. 通用定时器框图 ①时钟源 ②控制器 ③时基单元 ④输入捕获 ⑤捕获/比较&#xff08;公共&#xff09; ⑥输出比较 3.时钟源配置 3.1 计数器时钟源寄存器设置方法 3.2 外部时钟模式1 3.3 外部时钟模式2 3…

STM32CubeMX,定时器之定时功能,入门学习,如何设置prescaler,以及timer计算PWM输入捕获方法(重要)

1&#xff0c;参考链接&#xff08;重要&#xff09; STM32CubeMX——定时器之定时功能&#xff08;学习使用timer定时器的设置&#xff09; STM32测量PWM信息&#xff08;学习使用设置pwm输入捕获&#xff09; 通用定时器中两个重要参数的设置心得&#xff08;TIM_Prescaler、…

STM32F1 - 标准外设库_规范

STM32F10x_StdPeriph_Lib_V3.6.0 1> 头文件包含关系2> .c文件内部结构3> 宏定义位置4> 位掩码bit mask5> .c文件中定义私有变量6> 枚举类型定义 1> 头文件包含关系 1个头文件stm32f10x.h 就把整个MCU以及标准外设库&#xff0c;就管理了&#xff1b; 2>…

STM32控制JQ8400语音播报模块

时间记录&#xff1a;2024/2/7 一、JQ8400引脚介绍 标示说明ONE LINE一线操作引脚BUSY忙信号引脚&#xff0c;正在播放语音时输出高电平RX串口两线操作接收引脚TX串口两线操作发送引脚GND电源地引脚DC-5V电源引脚&#xff0c;3.3-5VDAC-RDAC输出右声道引脚DAC-LDAC输出左声道…

STM32的ADC电压采集

时间记录&#xff1a;2024/2/9 一、ADC相关知识点 &#xff08;1&#xff09;STM32的ADC时钟不要超过14MHz&#xff0c;不然结果的准确率将下降 &#xff08;2&#xff09;ADC分为规则组和注入组&#xff0c;规则组相当于正常运行的程序&#xff0c;注入组相当于中断可以打断…

STM32 FSMC (Flexible static memory controller) 灵活静态内存控制器介绍

文章目录 1. 介绍FSMC2. FSMC特点3. Block示意图4. AHB接口4.1 Supported memories and transactionsGeneral transaction rulesConfiguration registers 5. 外部设备地址映射5.1 NOR/PSRAM地址映射将NOR Flash/PSRAM的支持进行封装 5.2 NAND/PC Card地址映射 1. 介绍FSMC 说到…

51单片机 温度传感器得数据,传到上位机

#include <reg52.h> #include <intrins.h> #define MAIN_Fosc 11059200UL //宏定义主时钟HZ #define jingzhen 11059200UL /*使用22.1184M晶体*/ // #define botelv 9600UL /*波特率定义为9600*/ unsigned char zifua; //待显示字符。volatile …

【原创】烟花实现,基于windows操作系统

前言&#xff1a; 烟花的实现是我自己独立实现的第一个项目。那时离除夕只剩几天&#xff0c;我刚学完贪吃蛇。其实个人也很喜欢烟花。所以想送给朋友一份礼物。于是觉得可以一试。构思了一会后&#xff0c;就直接进行了。 成品&#xff1a; 思路&#xff1a; 1.vs2022很多特…

记录一下,我使用stm32实现pwm波输入,以及对频率和占空比的计算,同时通过串口输出(实现-重要)

1&#xff0c;首先看下半物理仿真 看下我的配置&#xff1a; 看下计算方法以及matlab的仿真输出的数据&#xff1a; timer3的ch2是选择高电平&#xff0c;计算频率 timer3的ch1是选择的是低电平&#xff0c;用来计算周期 其中TemPIpre表示的是CH2输出的值&#xff0c; TemPI…

蓝桥杯嵌入式第11届真题(完成) STM32G431

蓝桥杯嵌入式第11届真题(完成) STM32G431 题目 代码 程序和之前的大同小异&#xff0c;不过多解释 main.c /* USER CODE BEGIN Header */ /********************************************************************************* file : main.c* brief :…

STM32 USART串口通信

目录 USART串口 串口发送 串口发送接收 串口收发HEX数据包 串口收发文本数据包 USART串口 串口发送 Serial.c #include "stm32f10x.h" // Device header #include "stdio.h" #include "stdarg.h"/*** brief 初始化串口以…

基于STM32与FreeRTOS的四轴机械臂项目

目录 一、项目介绍 二、前期准备 1.硬件准备 2.开发环境 3.CubeMX配置 三、裸机各种模块测试 1.舵机模块 2.蓝牙模块 3.按键摇杆传感器模块和旋钮电位器模块 4.OLED模块 5.W25Q128模块 四、裸机三种控制测试 1.摇杆控制 2.示教器控制 3.蓝牙控制 五、裸机与Free…

Unity(单元测试)在STM32上的移植与应用

概述 Unity Test是一个为C构建的单元测试框架。本文基于STM32F407为基础&#xff0c;完全使用STM32CubeIDE进行开发&#xff0c;移植和简单使用Unity。 单片机型号&#xff1a;STM32F407VET6 软件&#xff1a;STM32CubeIDE Version: 1.14.1 Unity Version&#xff1a;2.…

STM32—DHT11温湿度传感器

文章目录 一.温湿度原理1.1 时序图 二.代码 一.温湿度原理 1.1 时序图 (1).下图一是DHT11总的时序图。 (2).图二对应图一的左边黑色部分&#xff0c;图三对应图一的绿色部分&#xff0c;图四的左部分图对应图一的红色部分&#xff0c;图四的右部分对应图一的黄色部分。 (3)…

STM32 (4) GPIO(1)

1.芯片的引脚分布 2.普通IO引脚的命名规则 3.IO复用 IO引脚身兼数职的现象叫做IO复用&#xff0c;可以使芯片拥有更多的功能&#xff0c;例如&#xff1a; PA9和PA10既可以用于GPIO的引脚&#xff0c;也可以用于串口或定时器的引脚 通用&#xff1a;CPU直接控制IO引脚的输入输…

STM32 的优势与不足

STM32 的优势和不足如下&#xff1a; 优势&#xff1a; ① 性能&#xff1a;STM32 采用了 ARM Cortex-M 核&#xff0c;具有高性能、低功耗、低成本等特点&#xff0c;可以满足各种嵌入式系统应用的需求。 ② 生态系统&#xff1a;STM32 具有完善的生态系统&#xff0c;包括各种…

STM32 I2C

目录 I2C通信 软件I2C读写MPU6050 I2C通信外设 硬件I2C读写MPU6050 I2C通信 R/W&#xff1a;0写1读 十轴&#xff1a;3轴加速度&#xff0c;3轴角速度&#xff0c;3轴磁场强度和一个气压强度 软件I2C读写MPU6050 MyI2C.c #include "stm32f10x.h" …

Git 初学

目录 一、需求的产生 二、版本控制系统理解 1. 认识版本控制系统 2. 版本控制系统分类 &#xff08;1&#xff09;集中式版本控制系统 缺点&#xff1a; &#xff08;2&#xff09;分布式版本控制系统 三、初识 git 四、git 的使用 例&#xff1a;将 “ OLED文件夹 ”…

TIM(Timer)定时中断 P1

难点&#xff1a;定时器级联、主从模式 一、简介&#xff1a; 1.TIM&#xff08;Timer&#xff09;定时器 定时器可以对输入的时钟进行计数&#xff0c;并在计数值达到设定值时触发中断 补充&#xff1a; { 定时器本质上是一个计数器&#xff0c;可以工作在定时或计数模式&…

【STM32 CubeMX】SPI HAL库编程

文章目录 前言一、CubeMX配置SPI Flash二、SPI HAL编程2.1 查询方式函数2.2 使用中断方式2.3 DMA方式 总结 前言 STM32 CubeMX 是一款由 STMicroelectronics 提供的图形化配置工具&#xff0c;用于生成 STM32 微控制器的初始化代码和项目框架。在 STM32 开发中&#xff0c;使用…

Linux|centos7下的编译|ffmpeg的二进制安装

Windows版本的ffmpeg&#xff1a; ###注意&#xff0c;高版本可能必须要windows10以及以上才支持&#xff0c;win7估计是用不了的 下载地址&#xff1a;Builds - CODEX FFMPEG gyan.dev 或者这个下载地址&#xff1a;https://github.com/BtbN/FFmpeg-Builds/releases 这两个…

物联网节水灌溉系统的设计与应用

物联网节水灌溉系统的设计与应用 一、引言 随着全球水资源日益紧张&#xff0c;农业灌溉的节水问题已引起广泛关注。物联网技术的快速发展为节水灌溉提供了新的解决方案。本文设计了一种基于物联网的节水灌溉系统&#xff0c;通过实时监测土壤湿度、气象条件等信息&#xff0…

STM32基础--初识 STM32

什么是 STM32 对于STM32&#xff0c;从字面意思上来理解&#xff0c;ST是意法半导体&#xff0c;M是Microelectronics的缩写&#xff0c;其中32表示的是32位&#xff0c;那么整合起来理解就是&#xff1a;STM32就是指的ST公司开发的32位微控制器。在如今的32位控制器中&#x…

rtthread stm32h743的使用(七)dac设备使用

我们要在rtthread studio 开发环境中建立stm32h743xih6芯片的工程。我们使用一块stm32h743及fpga的核心板完成相关实验&#xff0c;核心板如图&#xff1a; 1.我们还是先建立工程 2.生成工程后打开mx进行配置&#xff0c;时钟配置如前所讲&#xff0c;不在赘述 3.更改mx文件…

STM32(18)I2C

串口通信缺点 一个设备就需要一个串口&#xff0c;单片机可能没有那么多串口外设 总线/非总线 主机&#xff1a;负责管理总线&#xff0c;可控制波特率、数据的通信方向 波特率&#xff1a;由主机产生波特率信号 数据的传输 每个从机都有7位地址&#xff0c;最后移位是读&a…

STM32初识-Keil5和CubeMX

硬件介绍 1.软件介绍-Keil5 stm32 开发 简单介绍工程创建_开发stm32程序库-CSDN博客 安装详情和创建工程都在以上链接可以看到详细内容 因为stm32工程的创建并不像51那样简单&#xff0c;要根据官方的配置文件来才可以运行&#xff0c;所以在以上连接中有具体说明&#xff0…

STM32第十课:串口发送

一、usart串口 1.1 USART串口协议 串口通讯(Serial Communication) 是一种设备间非常常用的串行通讯方式&#xff0c;因为它简单便捷&#xff0c;因此大部分电子设备都支持该通讯方式&#xff0c;电子工程师在调试设备时也经常使用该通讯方式输出调试信息。在计算机科学里&…

STM32CubeIDE基础学习-新建STM32CubeIDE基础工程

STM32CubeIDE基础学习-新建STM32CubeIDE基础工程 前言 有开发过程序的朋友都清楚&#xff0c;后面开发是不需要再新建工程的&#xff0c;一般都是在初学时或者有特殊需要的时候才需要新建项目工程的。 后面开发都是可以在这种已有的工程上添加相关功能就行&#xff0c;只要前…

STM32CubeMX学习笔记18——FSMC(TFT-LCD屏触摸)

1.触摸屏简介 目前最常用的触摸屏有两种&#xff1a;电阻式触摸屏和电容式触摸屏 1.1 电阻式触摸屏 电阻式的触摸屏结构如下图示&#xff0c;它主要由表面硬涂层、两个ITO层、间隔点以及玻璃底层构成&#xff0c;这些结构层都是透明的&#xff0c;整个触摸屏覆盖在液晶面板上…

FreeRTOS学习笔记-基于stm32(3)中断管理

一、什么是中断 通俗点讲就是让CPU停止当前在做的事&#xff0c;转而去做更紧急的事。 二、中断优先级分组 这个紧急的事也有一个等级之分&#xff0c;优先级越高越先执行。stm32使用中断优先配置寄存器的高4位&#xff0c;共16级的中断优先等级。 stm32的中断优先等级可以分为…

STM32OLED调试工具

OLED介绍 4个引脚的oled &#xff1a; GND引脚接地&#xff0c;VCC接3.3v的电源 SCL与SDA是I2C通信的引脚 使用OLED显示屏驱动函数模块 接线图 将oled函数调试的代码引入到工程项目中 oled工程代码 OLED.C文件代码 #include "stm32f10x.h" #include "OLED_Font…

STM32控制蜂鸣器

一、功能简介 在一些设备仪表中&#xff0c;都需要蜂鸣器来发出提示音或者按键操作的声音&#xff0c;这里就用到蜂鸣器。 二、实现方法 1、蜂鸣器的分类为有源蜂鸣器和无源蜂鸣器&#xff0c;其区别是有没有振动源&#xff0c;这里我们常用有源蜂鸣器。 2、控制电路如下&am…

Linux第72步_使用“新字符设备的一般模板”编写LED驱动

使用“新字符设备的一般模板”编写LED驱动&#xff0c;使用寄存器直接开关灯。 1、创建LED目录 输入“cd /home/zgq/linux/Linux_Drivers/回车” 切换到“/home/zgq/linux/Linux_Drivers/” 输入“ls回车”&#xff0c;查看“/home/zgq/linux/Linux_Drivers/” 输入“mkdi…

STM32 USART入门指南

对于刚开始涉足STM32微控制器编程的初学者来说&#xff0c;掌握其通用同步/异步接收/发送器&#xff08;USART&#xff09;功能是一项基本且必要的技能。USART在嵌入式系统中广泛用于串行通信。本指南旨在简明扼要地介绍USART的基础概念和基本步骤&#xff0c;并提供一个简单的…

STM32 输入捕获模式测频率

单片机学习&#xff01; 目录 文章目录 前言 一、输入捕获测频率配置步骤 二、代码示例及注意事项 2.1 RCC开启时钟 2.2 GPIO初始化 2.3 配置时基单元 2.4 配置输入捕获单元 2.5 选择从模式的触发源 2.6 配置从模式为Reset 2.7 开启定时器 总结 前言 博文介绍如何配置输入捕获电…

FOC电流环速度环调试记录

FOC电流环速度环调试记录 电流环&#xff1a; 首先foc控制中都采用PI控制&#xff0c;没有引入微分&#xff0c;因为电流的采样率非常高不需要加入微分项&#xff1b;微分项的加入&#xff0c;会使电流采样中的高频小信号误差起到放大的作用&#xff0c;把小的误差放大&#…

【STM32】1.8寸LCD显示实验

目录 一、硬件介绍 1. STM32F03C8T6 2. 1.8寸LCD 二、STM32CubeMX配置 1. 接口配置 ​编辑 2. 其他配置 三、LCD图片取模 1. 打开图片&#xff08;.bmp格式&#xff09; 2. 设置 3. 点击保存数组。 4. 将生成的数组复制到lcd_picture.h文件中。 四、代码测试&…

STM32—启用按键

​ 目录 1 、电路构成及原理图 2、编写实现代码 main.c main.h key.c 3、代码讲解 4、 烧录到开发板调试、验证代码 5、检验效果 本人使用的是朗峰 STM32F103 系列开发板&#xff0c;此笔记基于这款开发板记录。 1 、电路构成及原理图 重要&#xff01;一定先用短路…

STM32 TIM编码器接口

单片机学习&#xff01; 目录 文章目录 前言 一、编码器接口简介 1.1 编码器接口作用 1.2 编码器接口工作流程 1.3 编码器接口资源分布 1.4 编码器接口输入引脚 二、正交编码器 2.1 正交编码器功能 2.2 引脚作用 2.3 如何测量方向 2.4 正交信号优势 2.5 执行逻辑 三、编码器定时…

【STM32】软件SPI读写W25Q64芯片

目录 W25Q64模块 W25Q64芯片简介 硬件电路 W25Q64框图 Flash操作注意事项 状态寄存器 ​编辑 指令集 INSTRUCTIONS​编辑 ​编辑 SPI读写W25Q64代码 硬件接线图 MySPI.c MySPI.h W25Q64 W25Q64.c W25Q64.h main.c 测试 SPI通信&#xff08;W25Q64芯片简介&am…

STM32H7 系列 MCU 内部 SRAM

通过参看《STM32H7 参考手册》“2.4 Embedded SRAM”章节知道 The STM32H743/53xx and STM32H750xB 内存特性: Up to 864 Kbytes of System SRAM 128 Kbytes of data TCM RAM 64 Kbytes of instruction TCM RAM 4 Kbytes of backup SRAM 1.1 TCM SRAM TCM : Tightly-Coupled …

stm32——hal库学习笔记(定时器)

这里写目录标题 一、定时器概述&#xff08;了解&#xff09;1.1&#xff0c;软件定时原理1.2&#xff0c;定时器定时原理1.3&#xff0c;STM32定时器分类1.4&#xff0c;STM32定时器特性表1.5&#xff0c;STM32基本、通用、高级定时器的功能整体区别 二、基本定时器&#xff0…

国产嵌入式教学实验箱操作教程:2-13 定时器控制实验

一、实验目的 熟悉定时器的基本结构&#xff0c;学习定时器的功能和控制方法&#xff0c;并实现基于定时器中断方式控制程序。 二、实验原理 定时器 TMS320CC6748有4个定时器/计数器&#xff0c;均可配置为64位计数器、两个独立32位计数器及自动重装32位计数器&#xff0c;…

STM32G030C8T6:定时器1ms中断(以64MHz外部晶振为例)

本专栏记录STM32开发各个功能的详细过程&#xff0c;方便自己后续查看&#xff0c;当然也供正在入门STM32单片机的兄弟们参考&#xff1b; 本小节的目标是&#xff0c;系统主频64 MHZ,采用高速外部晶振&#xff0c;通过定时器3 每秒中断控制 PB9 引脚输出高低电平&#xff0c;从…

STM32 | Proteus 8.6安装步骤(图文并茂)

01 Proteus 8.6 简介 Proteus 8.6 是一款功能强大的电子设计自动化软件&#xff0c;广泛用于电路设计、仿真和PCB布局。它为电子工程师和学生提供了一个全面的工具集&#xff0c;用于设计和验证各种电路和电子设备。Proteus 8.6 包括了以下几个主要特性&#xff1a; 1. 电路设…

STM32开发踩坑——使用仿真器对芯片进行写保护解除

STM32开发踩坑——使用仿真器对芯片进行写保护解除 成立这个文字专栏的目的是&#xff0c;记录自己在STM32嵌入式开发过程中&#xff0c;觉得值得记录的东西&#xff0c;例如方法、感悟&#xff0c;用来方便自己回顾。 文章目录 STM32开发踩坑——使用仿真器对芯片进行写保护解…

STM32F103 CubeMX ADC 驱动 PS2游戏摇杆控制杆传感器模块

STM32F103 CubeMX ADC 驱动 PS2游戏摇杆控制杆传感器模块 1. 工程配置1.1 配置debug口1.2 配置时钟1.3 配置ADC1.4 配置串口1.5 配置时钟1.6 生成工程 2. 代码编写2.1 串口代码2.2 ADC读取数据的代码 1. 工程配置 1.1 配置debug口 1.2 配置时钟 1.3 配置ADC 1.4 配置串口 1.5 …

STM32 SDRAM知识点

1.SDRAM和SRAM的区别 SRAM不需要刷新电路即能保存它内部存储的数据。而SDRAM&#xff08;Dynamic Random Access Memory&#xff09;每隔一段时间&#xff0c;要刷新充电一次&#xff0c;否则内部的数据即会消失&#xff0c;因此SRAM具有较高的性能&#xff0c;但是SRAM也有它…

STM32day3

1.思维导图 1.总结任务的调度算法&#xff0c;把实现代码再写一下 /* Definitions for myTask02 */ osThreadId_t myTask02Handle; uint32_t myTask02Buffer[ 64 ]; osStaticThreadDef_t myTask02ControlBlock; const osThreadAttr_t myTask02_attributes {.name "myTa…

磁珠与0欧姆电阻的实战电路及其优缺点

磁珠与0欧姆电阻的比较&#xff1a;定义、作用、实战电路及其优缺点 一、磁珠的定义与作用 磁珠&#xff0c;又称磁珠滤波器&#xff0c;是一种具有特定电感与电阻特性的被动元件&#xff0c;其主要功能是吸收超高频噪声并抑制电磁波的干扰。磁珠通常由铁氧体材料制成&#x…

STM32启动过程及反汇编

STM32从Flash启动的过程&#xff0c;主要是从上电复位到main函数的过程&#xff0c;主要有以下步骤&#xff1a; 1.初始化堆栈指针 SP_initial_sp&#xff0c;初始化 PC 指针Reset_Handler 2.初始化中断向量表 3.配置系统时钟 4.调用 C 库函数_main 初始化用户堆栈&#xf…

STM32利用标准库的方式输出PWM(proteus仿真)

首先打开proteus仿真软件&#xff0c;绘制电路图&#xff1a; 其中示波器的添加很简单的&#xff0c;看图&#xff1a; 再来看看咱们最后程序的效果&#xff1a; 下面就是程序代码了&#xff0c;新建两个文件PWM.c和PWM.h文件&#xff0c;所属关系如图&#xff1a; 整个的编程思…

STM32 HAL库RTC复位丢失年月日的解决办法

STM32 HAL库RTC复位丢失年月日的解决办法 0.前言一、实现方式1.CubeMX配置&#xff1a;2.MX_RTC_Init()函数修改2.编写手动解析函数 二、总结 参考文章&#xff1a;stm32f1 cubeMX RTC 掉电后日期丢失的问题 0.前言 最近在使用STM32F103做RTC实验时&#xff0c;发现RTC复位后时…

STM32CubeMX 配置 STM32F103 工程:通过DAC输出正弦波

说明&#xff1a;STM32CubeMX 配置 STM32F103 工程&#xff0c;通过DAC输出正弦波&#xff0c;参考代码可自动计算频率&#xff0c;自动计算正弦数据。 先参考这篇文章配置时钟、工程输出的设置&#xff1a; STM32CubeMX 配置 STM32F103 工程&#xff1a;通过DAC生成三角波、…

STM32CubeMX学习笔记20——SD卡FATFS文件系统

1. FATFS文件系统简介 文件系统是操作系统用于明确存储设备或分区上的文件的方法和数据结构&#xff08;即在存储设备上组织文件的方法&#xff09;。操作系统中负责管理和存储文件信息的软件机构称为文件管理系统&#xff0c;简称文件系统&#xff1b;不带文件系统的SD卡仅能…

STM32CubeIDE基础学习-STM32CubeIDE软件程序仿真调试

STM32CubeIDE基础学习-STM32CubeIDE软件程序仿真调试 前言 一般编写完程序后都会进行编译&#xff0c;看结果是否有存在语法错误&#xff0c;确认没有语法错误之后再进行代码的下载观察硬件执行是否和软件编程预期的结果一致&#xff0c;如果发现硬件执行达不到预期现象&#…

STM32H7VI电源、复位、时钟

STM32H7VI电源、复位、时钟 电源上电启动&#xff08;转载自安富莱STM32-V7手册&#xff09; 软硬件复位RCC时钟树配置 电源 这些常用的标识解释如下&#xff1a; 引脚名&#xff08;标识&#xff09;描述VDD用于 I/O 引脚和系统模拟部分供电&#xff0c;比如复位、电源管理、…

STM32—触摸键

目录 1 、 电路构成及原理图 2 、编写实现代码 3、代码讲解 4、烧录到开发板调试、验证代码 5、检验效果 此笔记基于朗峰 STM32F103 系列全集成开发板的记录。 1 、 电路构成及原理图 触摸键简单的了解就是一次电容的充放电过程。从原理图可以看出&#xff0c;触摸键 …

STM32标准库——(21)Flash闪存

1.简介 第一个用途&#xff0c;对于我们这个C8T6芯片来说&#xff0c;它的程序存储器容量是64K&#xff0c;一般我们写个简单的程序&#xff0c;可能就只占前面的很小一部分空间&#xff0c;剩下的大片空余空间我们就可以加以利用&#xff0c;比如存储一些我们自定义的数据&…

【正点原子STM32连载】 第五十五章 FreeRTOS移植实验 摘自【正点原子】APM32E103最小系统板使用指南

1&#xff09;实验平台&#xff1a;正点原子APM32E103最小系统板 2&#xff09;平台购买地址&#xff1a;https://detail.tmall.com/item.htm?id609294757420 3&#xff09;全套实验源码手册视频下载地址&#xff1a; http://www.openedv.com/docs/boards/xiaoxitongban 第五…

STM32-点亮 LED

目录 1 、电路构成及原理图 2 、编写实现代码 3、代码讲解 4、烧录到开发板调试、验证代码 5、检验效果 本人使用的是朗峰 STM32F103 系列开发板&#xff0c;此笔记基于这款开发板记录。 1 、电路构成及原理图 首先&#xff0c;通过朗峰 F1 开发板 LED 部分原理图看到…

蓝桥杯STM32G431RBT6实现按键的单击、双击、长按的识别

阅读引言&#xff1a; 是这样&#xff0c; 我也参加了这个第十五届的蓝桥杯&#xff0c;查看竞赛提纲的时候发现有按键的双击识别&#xff0c; 接着我就自己实现了一个按键双击的识别&#xff0c;但是识别效果不是特别理想&#xff0c;偶尔会出现识别不准确的情况&#xff0c;接…

STM32物联网(封装AT指令进行TCP连接及数据的接收和发送)

文章目录 前言一、AT指令函数封装1.向ESP8266发送数据函数2.设置ESP8266工作模式3.连接WIFI函数4.查询IP地址5.连接TCP服务器6.发送数据到TCP服务器7.接收并解析来自TCP服务器的数据8.关闭TCP服务器 二、代码测试总结 前言 本篇文章将继续带大家学习STM32物联网&#xff0c;那…

STM32自学☞输入捕获测频率和占空比案例

本文是通过PA0口输出PWM波&#xff0c;然后通过PA6口捕获PWM波的频率和占空比&#xff0c;最终在oled屏上显示我们自己设置的频率和占空比。由于和前面的pwm呼吸灯代码有重合部分所以本文中的代码由前者修改而来&#xff0c;对于文件命名不要在意。 pwm_led.c文件 /* 编写步…

RT-Thread 时钟 timer delay 相关

前言 此处,介绍对delay 时钟 timer 这几部分之间的关联和相关的知识点;本来只是想介绍一下 delay的,但是发现说到delay 不先 提到 先验知识 晶振\时钟\时钟节拍\定时器 好像没法解释透彻,所以就变成了 晶振\时钟\时钟节拍\定时器\delay 的很简单的概括一遍;并附带上能直接运行的…

STM32控制max30102读取血氧心率数据(keil5工程)

一、前言 MAX30102是一款由Maxim Integrated推出的低功耗、高精度的心率和血氧饱和度检测传感器模块&#xff0c;适用于可穿戴设备如智能手环、智能手表等健康管理类电子产品。 该传感器主要特性如下&#xff1a; &#xff08;1&#xff09;光学测量&#xff1a;MAX30102内置…

STM32 SPI(基础概念)

文章目录 前言一、SPI通信协议概述二、SPI硬件框图和软件层次三、SPI通信时序四、SPI控制器总结 前言 本篇文章来给大家讲解一个非常重要的通信协议SPI&#xff0c;SPI在MCU和外设之间的通信用的是非常多的&#xff0c;这篇文章将带大家先来学习SPI的一些概念。 一、SPI通信协…

STM32 TCP实现OTA

芯片&#xff1a;stm32f407 开发平台&#xff1a;stm32cubeide 上位机开发平台&#xff1a;visual studio 2017 1. FLASH分配 将flash划分为四个部分&#xff1a; bootloader: 0x8000000-0x800ffff app1: 0x8010000-0x805ffff app2: …

第十五届蓝桥杯-UART接收不定长指令的处理

学习初衷&#xff1a; 不仅仅为了比赛&#xff01; 目录 一、问题引入 二、UART常用的三种工作模式 1.UART工作在中断模式 2.UART工作在DMA模式下 3.uart工作在接收转空闲的模式下 三、获取指令中需要的数据 四、printf函数的实现 一、问题引入 问题引入&#xff1a;请…

STM32_3-1点亮LED灯与蜂鸣器发声

STM32之GPIO GPIO在输出模式时可以控制端口输出高低电平&#xff0c;用以驱动Led蜂鸣器等外设&#xff0c;以及模拟通信协议输出时序等。 输入模式时可以读取端口的高低电平或电压&#xff0c;用于读取按键输入&#xff0c;外接模块电平信号输入&#xff0c;ADC电压采集灯 GP…

STM32使用标准库编写外部时钟控制oled显示计数(proteus仿真)

这节课的结果是在上节课的基础上更改的&#xff1a;电路图为&#xff1a;用一个开关来模拟外部时钟的高低电平的变化。 当然也可以配置一个外部时钟来模拟&#xff0c;也是可以的&#xff1a; 由于这节课的代码是在上节课的基础上有一点修改而来的&#xff0c;所以就只把更改的…

Linux第75步_pinctrl子系统驱动和gpio子系统的常用函数

1、STM32MP1的pinctrl子系统驱动 pinctrl子系统源码目录为drivers/pinctrl&#xff0c;一个PIN最好只能被一个外设使用。 “stm32mp151.dtsi”中有一个“pin-controller节点标签”叫pinctrl pinctrl: pin-controller50002000 { #address-cells <1>; /*定义子节点的…

20140311按键中断输入

1、中断初始化 1、引脚初始化KEY0_GPIO_CLK_ENABLE(); /* KEY0时钟使能 */gpio_init_struct.Pin KEY0_GPIO_PIN; /* KEY0引脚 */gpio_init_struct.Mode GPIO_MODE_INPUT; /* 输入 */gpio_ini…

#stm32外设总结电容触摸按键

BS8116A-3 IRQ 外部中断请求 NMOS输出内部上拉 SCL SDA IIC通信接口 VDD 供电电压2.2-5.5V Ct电容: 0~25 pF 电容越大灵敏度越低 1、 软件使用流程 初始化 将IIC的两个引脚初始化为复用开漏模式 按键引脚设置上拉输入 下降沿触发外部中断 void KEY_Init(void) {//uint8_t …

Lwip之TCP服务端示例记录(1对1)

示例 // // Created by shchl on 2024/3/8. // #if 1#include <string.h> #include "lwip/api.h" #include "FreeRTOS.h" #include "task.h" #include "usart.h" #include "lwip_comm.h"static TaskHandle_t tcp_se…

STM32CubeMX学习笔记19——SD卡(SDIO接口)

1、简介 1.1 SD卡简介 很多单片机系统都需要大容量存储设备&#xff0c;以存储数据&#xff08;常用的有U盘、FLASH芯片、SD卡等&#xff09;&#xff0c;比较而言SD卡是单片机大容量外部存储的首选&#xff0c;只需要少数几个IO口即可外扩一个容量从几十M到几十G的&#xff…

STM32CubeIDE基础学习-STM32CubeIDE软件新增工程文件夹

STM32CubeIDE基础学习-STM32CubeIDE软件新增工程文件夹 文章目录 STM32CubeIDE基础学习-STM32CubeIDE软件新增工程文件夹前言第1章 添加文件夹第2章 添加文件路径2.1 相对路径方法2.2 绝对路径方法 总结 前言 在编程的过程中&#xff0c;如果需要在原有的工程基础上新增其它的…

STM32的启动流程分析 和 一些底层控制的原理

阅读引言&#xff1a; 阅读本文之后&#xff0c; 你将对单片机&#xff0c; 甚至是嵌入式系统&#xff0c; 或者是传统的PC机系统的启动流程有一个大致的了解&#xff0c; 本文更加偏向于单片机的启动流程分析。 目录 一、基础知识 1.STM32系列的微控制器&#xff08;mcu&…

嵌入式面经-线程与进程

什么是进程和线程 进程是资源分配的基本单元&#xff0c;它是程序执行时的一个实例&#xff0c;在程序运行时创建 线程是程序执行的最小单元&#xff0c;是进程的一个执行流&#xff0c;一个进程可由有多个线程组成 线程与进程的区别是什么 资源占用&#xff1a;每个进程都拥…

STM32(14)USART

USART:一种片上外设&#xff0c;用来实现串口通信&#xff0c;就是stm32内部的串口 USART简介 串并转换电路 串行通信和并行通信 串行&#xff1a;一根数据线&#xff0c;逐个比特位发送 为什么要串并转换 移位寄存器 USART的基本模型 通过查询SR&#xff08;状态寄存器&…

C语言实现课程管理系统

程序采用C语言编写&#xff0c;用到了结构体、链表相关知识&#xff0c;代码如下&#xff1a; #include <Windows.h> #include <stdio.h> #define READ_FILE1 "courses.csv" #define WRITE_FILE1 "courses_new.txt" #define MAX_XYCOUNT 10…

STM32单片机基本原理与应用(八)

温度传感器实验 实验内容&#xff1a; 单片机通过代码模拟1-Wire总线并对DS18B20进行读写&#xff0c;并在TFTLCD屏幕上显示当前实时温度。 电路原理图&#xff1a; 1-Wire总线 1-Wire总线&#xff1a;即单总线协议&#xff0c;采用单根信号线&#xff0c;既传输时钟&#…

(HAL)STM32F103C6T8——软件模拟I2C驱动0.96寸OLED屏幕

一、电路接法 电路接法参照江科大视频。 二、相关代码及文件 说明&#xff1a;代码采用hal库&#xff0c;通过修改江科大代码实现。仅OLED.c文件关于引脚定义作了hal库修改&#xff0c;并将宏定义OLED_W_SCL(x)、OLED_W_SDA(x)作了相关修改。 1、OLED.c void OLED_I2C_Init(voi…

STM32F103学习笔记(六) RTC实时时钟(应用篇)

目录 1. RTC 实时时钟的应用场景 2. RTC 的配置与初始化 2.1 设置 RTC 时钟源 2.2 初始化 RTC 寄存器 2.3 中断配置 2.4 备份寄存器配置 2.5 校准 RTC 3. 实例演示代码 4. 总结 1. RTC 实时时钟的应用场景 实时时钟&#xff08;RTC&#xff09;在嵌入式系统中具有广泛…

STM32程序(移植)中头文件的路径

例:#include "./BSP/LCD/lcd.h"为什么有的头文件加了路径? 先看AI的回答: 在C和C编程中&#xff0c;当我们在源文件中包含&#xff08;或称为“引入”或“导入”&#xff09;一个头文件时&#xff0c;编译器需要知道这个头文件的位置。通常&#xff0c;编译器会在…

HC32F460 是否有 RTC?在电池供电方案中该如何使用?

[技术问答]HC32F460 是否有 RTC&#xff1f;在电池供电方案中该如何使用&#xff1f;_hc32f460 rtc-CSDN博客 华大HC32A460 系列介绍&#xff08;三&#xff09;_华大单片机内部温度传感器-CSDN博客 HC32F460PETB-LQFP100-华大半导体有限公司 [【HC32F460开发板测评】&#xf…

STM32—PWM输出

目录 1 、 电路构成及原理图 2 、编写实现代码 main.c pwm.c 3、代码讲解 4、烧录到开发板调试、验证代码 5、检验效果 此笔记基于朗峰 STM32F103 系列全集成开发板的记录。 1 、 电路构成及原理图 PWM---Pulse Width Modulation&#xff0c;脉冲宽度调制&#xff0c;是…

1 开源鸿蒙OpenHarmony niobe407 STM32F407IGT6芯片轻型系统全量源码4.1版本下载流程

开源鸿蒙OpenHarmony niobe407 STM32F407IGT6芯片轻型系统全量源码4.1版本下载流程 作者将狼才鲸日期2024-02-27 一、前景提要 如果通过DevEco Marketplace网站获取下载源码的话&#xff0c;不全&#xff0c;有些板子下不到&#xff1b;OpenHarmony开发板列表&#xff0c;官方…

【STM32】STM32学习笔记-WDG看门狗(46)

00. 目录 文章目录 00. 目录01. WDG简介02. IWDG概述03. IWDG框图04. IWDG键寄存器05. WWDG简介06. WWDG框图07. WWDG工作特性08. IWDG和WWDG对比09. 预留10. 附录 01. WDG简介 WDG&#xff08;Watchdog&#xff09;看门狗 看门狗可以监控程序的运行状态&#xff0c;当程序因为…

【STM32】STM32学习笔记-PWR电源控制(44)

00. 目录 文章目录 00. 目录01. PWR简介02. 电源框图03. 上电复位和掉电复位04. 可编程电压监测器05. 低功耗模式06. 模式选择07. 睡眠模式08. 停止模式09. 待机模式10. 附录 01. PWR简介 •PWR&#xff08;Power Control&#xff09;电源控制 •PWR负责管理STM32内部的电源供…

STM32作为SPI slave与主机异步通信

背景 最近被测试提了个BUG&#xff0c;说某款产品在用户按下前面板的按键后&#xff0c;对应的按键灯没有亮起来。前面板跟主机是通过SPI口通信&#xff0c;前面板是从机&#xff0c;从机想要主动发送消息&#xff0c;需要通过GPIO中断来通知主机&#xff1a; 上图前面板是ST…

【物联网】stm32芯片结构组成,固件库、启动过程、时钟系统、GPIO、NVIC、DMA、UART以及看门狗电路的全面详解

一、stm32的介绍 1、概述 stm32: ST&#xff1a;指意法半导体 M&#xff1a;指定微处理器 32&#xff1a;表示计算机处理器位数 与ARM关系:采用ARM推出cortex-A&#xff0c;R,M三系中的M系列&#xff0c;其架构主要基于ARMv7-M实现 ARM分成三个系列&#xff1a; Cortex-A&…

STM32day2

1.思维导图 个人暂时的学后感&#xff0c;不一定对&#xff0c;没什么东西&#xff0c;为做项目奔波中。。。1.使用ADC采样光敏电阻数值&#xff0c;如何根据这个数值调节LED灯亮度。 while (1){/* USER CODE END WHILE *//* USER CODE BEGIN 3 */adc_val HAL_ADC_GetValue(&a…

STM32CubeMX学习笔记17--- FSMC

1.1 TFTLCD简介 TFT-LCD&#xff08;thin film transistor-liquid crystal display&#xff09;即薄膜晶体管液晶显示器。液晶显示屏的每一个像素上都设置有一个薄膜晶体管&#xff08;TFT&#xff09;&#xff0c;每个像素都可以通过点脉冲直接控制&#xff0c;因而每个节点都…

【STM32+OPENMV】二维云台颜色识别及追踪

一、准备工作 有关OPENMV最大色块追踪及与STM32通信内容&#xff0c;详情见【STM32HAL】与OpenMV通信 有关七针OLED屏显示内容&#xff0c;详情见【STM32HAL】七针OLED(SSD1306)配置(SPI版) 二、所用工具 1、芯片&#xff1a;STM32F407ZGT6 2、CUBEMX配置软件 3、KEIL5 4…

stm32学习笔记:I2C通信协议原理和软件I2C读写MPU6050

概述 第一块&#xff1a;介绍协议规则&#xff0c;然后用软件模拟的形式来实现协议。 第二块&#xff1a;介绍STM32的iic外设&#xff0c;然后用硬件来实现协议。 程序一现象&#xff1a;通过软件I2C通信&#xff0c;对MPU6050芯片内部的寄存器进行读写&#xff0c;写入到配…

FreeRTOS常用API函数(动态内存分配下)

前言 FreeRTOS有其特殊的变量命名和函数命名&#xff1a; 比如 char 型变量的前缀是 c&#xff0c;short型变量的前缀是s&#xff0c;long 型变量的前缀是l&#xff0c;portBASE_TYPE 类型变量的前缀是x。还有其他的数据类型&#xff0c;比如数据结构&#xff0c;任务句柄&…

STM32(9)EXTI

EXTI工作原理 EXTI的寄存器组 每个寄存器都是20个比特位&#xff0c;对应EXTI的20路通道&#xff0c;如这6个寄存器的最左边就都是对应通道1的

FreeRTOS学习笔记-基于stm32(2)任务的创建与删除,挂起与恢复

一、任务创建与删除 1、动态任务创建 xTaskCreate( TaskFunction_t pxTaskCode,const char * const pcName,const uint16_t usStackDepth,void * const pvParameters,UBaseType_t uxPriority,TaskHandle_t * const pxCreatedTask ); ①&#xff1a;使用此函数前需将宏 confi…

STM32/GD32——电源管理单元PWU

芯片选型 Ciga Device — GD32F470系列 PWU介绍 PMU全称Power Management Unit&#xff0c;电源管理单元。 电源域 总共有三大电源域&#xff0c;包括VDD / VDDA域&#xff0c;1.2V域和备份域。 VDD/VDDA 域 提供PMU 常规电源供应以下模块的供电&#xff1a; 看门狗主频晶…

单片机为什么被认为是一门简单的技术?

做了单片机开发时间越长&#xff0c;越感觉这个领域的东西&#xff0c;10年都学不完。 之前我分享过特斯拉超跑Roadster的开源资料&#xff0c;很多老铁说没啥用&#xff0c;都是过时的东西。 我发现&#xff0c;说这些话的&#xff0c;都是些初学者&#xff0c;或者从业不久的…

基于STM32的驿站取货小车系统设计

目 录 摘 要 I Abstract II 引 言 3 1 控制系统设计 6 1.1系统方案 6 1.2 系统工作原理 6 1.2.1 系统的工作原理 6 1.2.2 系统的结构 7 2 硬件电路设计 9 2.1主控模块的选择 9 2.1.1 单片机及其选型介绍 8 2.1.2 复位电路的介绍 9 2.2 电机驱动模块 10 2.3 ov7670模块电路 10 …

STM32基础--构建自己的固件库

CMSIS 标准及库层次关系 因为基于 Cortex 系列芯片采用的内核都是相同的&#xff0c;区别主要为核外的片上外设的差异&#xff0c;这些差异却导致软件在同内核&#xff0c;不同外设的芯片上移植困难。为了解决不同的芯片厂商生产的 Cortex 微控制器软件的兼容性问题&#xff0…

stm32普通定时器脉冲计数(发送固定脉冲个数),控制步进电机驱动器

拨码开关设置驱动器&#xff0c;细分 方法思路&#xff1a;用通用定时器TIM2&#xff0c;1ms产生一次中断&#xff1b;在中断里做IO反转&#xff1b; 发送10个脉冲信号

STM32之串口中断接收UART_Start_Receive_IT

网上搜索了好多&#xff0c;都是说主函数增加UART_Receive_IT()函数来着&#xff0c;实际正确的是UART_Start_Receive_IT()函数。 —————————————————— 参考时间&#xff1a;2024年3月9日 Cube版本&#xff1a;STM32CubeMX 6.8.1版本 参考芯片&#xff1a…

STM32/GD32——I2C通信协议

芯片选型 Ciga Device — GD32F470系列 通讯规则 I2C协议&#xff08;或称IIC&#xff09;是由飞利浦&#xff08;现在的恩智浦半导体&#xff09;公司开发的一种通用的总线协议。它使用两根线&#xff08;时钟线和数据线&#xff09;来传输数据&#xff0c;支持多个设备共享…

STM32基本定时功能

1、定时器就是计数器。 2、怎么计数&#xff1f; 3、我们需要有一恒定频率的方波信号&#xff0c;再加上一个寄存器。 4、比如每来一个上升沿信号&#xff0c;寄存器值加1&#xff0c;就可以完成计数。 5、假设方波频率是100Hz&#xff0c;也就是1秒100个脉冲。…

【STM32】HAL库 CubeMX 教程 --- 通用定时器 TIM2 定时

实验目标&#xff1a; 通过CUbeMXHAL&#xff0c;配置TIM2&#xff0c;1s中断一次&#xff0c;闪烁LED。 一、常用型号的TIM时钟频率 1. STM32F103系列&#xff1a; 所有 TIM 的时钟频率都是72MHz&#xff1b;F103C8不带基本定时器&#xff0c;F103RC及以上才带基本定时器。…

【STM32】STM32F4中USART的使用方法和Printf的重定义(基于CubeMX和Keil)

文章目录 一、前言二、STM32CubeMX生成代码2.1 选择芯片2.2 配置相关模式2.3 生成代码 三、Keil重定义Printf3.1 勾选“UseMicroLIB”3.2 添加头文件和修改fputc和fgetc 四、测试Printf的效果4.1 字符串测试4.2 格式化输出测试 五、存在问题的解决方法5.1 检查串口号是否一致5.…

使用Windows API实现一个简单的串口助手

使用Windows API实现一个简单的串口助手 目录 使用window API开发一个具有字符串收发功能的串口助手 开发环境串口设备相关的API步骤实现代码收发测试图 使用window API开发一个具有字符串收发功能的串口助手 开发环境 Visual Studio 2015 串口设备相关的API CreateFile 参…

【FreeRTOS任务调度机制学习】

FreeRTOS任务调度机制 1、通过就绪态&#xff08;ready&#xff09;、阻塞态链表&#xff08;delay&#xff09;、挂起态链表&#xff08;suspend&#xff09;进行任务调度。链表定义 2、TCB控制块结构体描述3、向就绪态任务链表增加任务就绪态链表结构体 4、向阻塞态任务链表增…

STM32---IIC通信协议(含源码,小白进)

写在前面&#xff1a;在前面的学习过程中&#xff0c;我们学习了串口通信的USART&#xff08;通用同步异步收发器&#xff09;&#xff0c;本节我们将继续学习一种串行通信协议——IIC通信协议。之前我使用51单片机也分享过相关的IIC通信的知识&#xff0c;其实本质的知识是相通…

STM32使用定时器驱动电机

STM32使用定时器驱动电机 1、对定时器进行初始化配置1.1、include "encoder.c"文件 主函数 1、对定时器进行初始化配置 1.1、include "encoder.c"文件 #include "encoder.h"void TIM4_Encoder_Init(u16 arr,u16 psc) { GPIO_InitTypeDef GPIO…

C语言编译成库文件的要求

keil编译成库文件 在Keil中&#xff0c;将C语言源文件编译成库文件通常需要进行以下步骤&#xff1a; 创建一个新的Keil项目&#xff0c;并将所需的C语言源文件添加到该项目中。 在项目设置中配置编译选项&#xff0c;确保生成的目标文件符合库文件的标准格式。 编译项目&…

江科大stm32学习笔记——【5-2】对射式红外传感器计次旋转编码计次

一.对射式红外传感器计次 1.原理 2.硬件连接 3.程序 CountSensor.c: #include "stm32f10x.h" // Device header #include "Delay.h"uint16_t CountSensor_Count;void CountSensor_Init(void) {//配置RCC时钟&#xff1a;RCC_APB2Perip…

STM32自学☞AD单通道

程序的最终运行成果: 当转动电位器时&#xff0c;数值和电压值发生变化 ad.c文件 #include "stm32f10x.h" #include "stm32f10x_adc.h" #include "ad.h" #include "stdint.h" void ad_Init(void) { /* 初始化步骤&#xff1a;…

STM32合并烧录IAP+APP

STM32合并烧录IAPAPP 通过查找相关资料 有以下几种合并方法 第一种直接将二进制文件用记事本合并 而要合并的就是就将IAP最后的一行删除&#xff0c;然后将APP程序追加在后面。 &#xff08;修改前&#xff09; 把APP的.hex 全部内容拷贝复制到 刚才删掉结束语句的 IAP的.…

Linux第67步_linux字符设备驱动_注册和注销

1、字符设备注册与注销的函数原型” /*字符设备注册的函数原型*/ static inline int register_chrdev(unsigned int major,\ const char *name, \ const struct file_operations *fops) /* major:主设备号&#xff0c;Limnux下每个设备都有一个设备号&#xff0c;设备号分…

STM32标准库开发——BKP备份RTC时钟

备份寄存器BKP(Backup Registers) 由于RTC与BKP关联性较高&#xff0c;所以RTC的时钟校准寄存器以及一些功能都放在了BKP中。TAMPER引脚主要用于防止芯片数据泄露&#xff0c;可以设计一个机关当TAMPER引脚发生电平跳变时自动清除寄存器内数据不同芯片BKP区别&#xff0c;主要体…

STM32使用FlyMcu串口下载程序与STLink Utility下载程序

文章目录 前言软件链接一、FlyMcu串口下载程序原理优化手动修改跳线帽选项字节其他功能 二、STLink Utility下载程序下载程序选项字节固件更新 前言 本文主要讲解使用FlyMcu配合USART串口为STM32下载程序、使用STLink Utility配合STLink为STM32下载程序&#xff0c;以及这两个…

STM32(6)中断

1.中断 1.1 中断的概念 STM32的中断&#xff1a; 1.2 中断优先级 用数字的大小表示中断优先级的高低&#xff0c;数字的范围&#xff1a;0000--1111&#xff08;二进制&#xff09;&#xff0c;即0-15&#xff0c;共16级优先级。 进一步对这4位二进制数进行划分&#xff0c;可…

STM32存储左右互搏 QSPI总线FATS文件读写FLASH W25QXX

STM32存储左右互搏 QSPI总线FATS文件读写FLASH W25QXX FLASH是常用的一种非易失存储单元&#xff0c;W25QXX系列Flash有不同容量的型号&#xff0c;如W25Q64的容量为64Mbit&#xff0c;也就是8MByte。这里介绍STM32CUBEIDE开发平台HAL库Quad SPI总线实现FATS文件操作W25Q各型号…

基于STM32F4的FFT(快速傅里叶变换)求信号幅值,频率,相位差

基于STM32F4的FFT&#xff08;快速傅里叶变换&#xff09;求信号幅值&#xff0c;频率&#xff0c;相位差 一。FFT原理介绍 快速傅里叶变换&#xff08;Fast Fourier Transform&#xff0c;FFT&#xff09;是一种用于高效计算傅里叶变换的算法。傅里叶变换是一种信号处理技术…

STM32自学☞I2C

这里只是大体介绍&#xff0c;具体的可参考STM32数据手册

STM32(15)USART编程

使用USART实现STM32与电脑之间的通信 中介&#xff1a;USB转TTL模块 闭合总开关&#xff0c;外部时钟才会传输到分频器 c8t6手册里面写了&#xff0c;usart最大支持4.5MHz&#xff0c;所以选10 重映射时记得开启AFIO的时钟

基于 STM32U5 片内温度传感器正确测算温度

目录预览 1、引言 2、问题 3、小结 01 引言 STM32 在内部都集成了一个温度传感器&#xff0c;STM32U5 也不例外。这个位于晶圆上的温度传感器虽然不太适合用来测量外部环境的温度&#xff0c;但是用于监控晶圆上的温度还是挺好的&#xff0c;以防止芯片过温运行。 02 问题…

STM32第九节(中级篇):RCC——时钟树讲解(第一节)

目录 前言 STM32第九节&#xff08;中级篇&#xff09;&#xff1a;RCC——时钟树讲解 时钟树主系统时钟讲解 HSE时钟 HSI时钟 锁相环时钟 系统时钟 SW位控制 HCLK时钟 PCLKI时钟 PCLK2时钟 RTC时钟 MCO时钟输出 6.2.7时钟安全系统(CSS&#xff09; 小结 前言 从…

STM32-WDG看门狗

目录 简介 独立看门狗 键寄存器 窗口看门狗 特点 简介 STM32内置两个看门狗&#xff0c;提供了更高的安全性、时间的精确性和使用的灵活性。两个看门狗设备&#xff08;独立看门狗和窗口看门狗&#xff09;可用来检测和解决由软件错误引起的故障&#xff0c;当计数器达到给…

面试常问,ADC,PWM

一 PWM介绍 pwm全名&#xff08;Pulse Width Modulation&#xff09;&#xff1a;脉冲宽度调制 在具有惯性的系统中&#xff0c;可以通过对一系列脉冲的宽度进行调制&#xff0c;来等效地获得所需要的模拟参量&#xff0c;常应用于电机控速等领域。PWM一定程度上是数字到模拟…

串行通信——IIC总结

一.什么是IIC&#xff1f; IIC&#xff08;Inter-Integrated Circuit&#xff09;也称I2C&#xff0c;中文叫集成电路总线。是一个多主从的串行总线&#xff0c;由飞利浦公司发明的通讯总线&#xff0c;属于半双工同步传输类总线&#xff0c;仅由两条线就能完成多机通讯&#…

【ARM】DS中Coretex-M处理器的常用寄存器介绍

【更多软件使用问题请点击亿道电子官方网站查询】 1、 文档目标 了解ArmDS中Coretex-M处理器的常用寄存器的名称及作用。 2、 问题场景 在对Coretex-M处理器进行开发时&#xff0c;了解常用寄存器的名称及作用&#xff0c;可以&#xff1a; 编写正确的程序: 寄存器是程序员用…

STM32-PWR电源控制

PWR(Power Control)电源控制 管理STM32内部的电源供电部分&#xff0c;可以实现可编程电压检测器和低功耗模式的功能。 电源管理器 上电复位&#xff08;POR&#xff09;和掉电复位&#xff08;PDR&#xff09; STM32内部有一个完整的上电复位(POR)和掉电复位(PDR)电路&…

Linux第80步_使用“信号量”实现“互斥访问”共享资源

1、创建MySemaphoreLED目录 输入“cd /home/zgq/linux/Linux_Drivers/回车” 切换到“/home/zgq/linux/Linux_Drivers/”目录 输入“mkdir MySemaphoreLED回车”&#xff0c;创建“MySemaphoreLED”目录 输入“ls回车”查看“/home/zgq/linux/Linux_Drivers/”目录下的文件…

【STM32定时器 TIM小总结】

STM32 TIM详解 TIM介绍定时器类型基本定时器通用定时器高级定时器常用名词时序图预分频时序计数器时序图 定时器中断配置图定时器定时 代码调试 TIM介绍 定时器&#xff08;Timer&#xff09;是微控制器中的一个重要模块&#xff0c;用于生成定时和延时信号&#xff0c;以及处…

STM32 通过Modbus协议更改内部Flash(模仿EEPROM)的运行参数

main.c测试 uint8_t uart1RxBuf[64]{0};uint8_t Adc1ConvEnd0; uint8_t Adc2ConvEnd0;int main(void) {/* USER CODE BEGIN 1 *//* USER CODE END 1 *//* MCU Configuration--------------------------------------------------------*//* Reset of all peripherals, Initial…

STM32F103 CubeMX 定时器输出PWM控制呼吸灯

STM32F103 CubeMX 定时器输出PWM控制呼吸灯 1. 生成代码1. 1 配置外部时钟&#xff0c;SWD口1.2 配置定时器31.3 配置定时器2 2. 代码编写的部分 使用的cubmx 软件的版本&#xff1a;6.2.0 最新版本 6.10&#xff08;2024年3月11日&#xff09; 1. 生成代码 1. 1 配置外部时钟…

stm32学习笔记:SPI通信协议原理(未完)

一、SPI简介(serial Peripheral Interface&#xff08;串行 外设 接口&#xff09;) 1、电路模式&#xff08;采用一主多从的模式&#xff09;、同步&#xff0c;全双工 1 所有SPI设备的SCK、MOSI、MISO分别连在一起 2 主机另外引出多条SS控制线&#xff0c;分别接到各从机的S…

stm32使用时钟生成PWM时调用__HAL_TIM_SetAutoreload导致PWM消失处理

stm32使用时钟生成PWM时调用__HAL_TIM_SetAutoreload导致PWM消失处理 这一个是配置的时候没有使用影子寄存器导致的, 如果加载的Autoreload的值比原来的这一个值小, 这是会出现一个问题, 如果计数器里面的值记为Count, 如果改变的时候New_Autoreload < Count < Old_Auto…

STM32-位带操作及位带别名区

这里写自定义目录标题 一、位带操作的基本含义及作用二、以STM32为例三、位带别名区和位带区(寄存器地址位地址)的转换关系四、使用例程 一、位带操作的基本含义及作用 位带别名区的设计主要是为了**方便对位带区单个比特位进行读写操作**。在某些应用场景下&#xff0c;需要频…

STM32 利用FlashDB库实现在线扇区数据管理不丢失

STM32 利用FlashDB库实现在线扇区数据管理不丢失 &#x1f4cd;FalshDB地址:https://gitee.com/Armink/FlashDB ✨STM32没有片内EEPROM这样的存储区&#xff0c;虽然有备份寄存器&#xff0c;仅可以实现对少量数据的频繁存储&#xff0c;但是依赖备份电源&#xff08;BAT引脚&a…

【stm32 外部中断】

中断&#xff1a;在主程序运行过程中&#xff0c;出现了特定的中断触发条件&#xff08;中断源&#xff09;&#xff0c;使得CPU暂停当前正在运行的程序&#xff0c;转而去处理中断程序&#xff0c;处理完成后又返回原来被暂停的位置继续运行 中断优先级&#xff1a;当有多个中…

【硬件基础】电容的选型

1、电容的理论基础 电容器的本质就是储能&#xff0c;充放电 根据作用可分为&#xff1a;滤波电容&#xff0c;旁路电容&#xff0c;耦合电容&#xff0c;退耦电容&#xff0c;自举电容 2、电容的取值 计算取值&#xff0c;查手册&#xff0c;经验取值 3、电容的选取 分为铝…

MQTT+ONENET+STM32+LWIP驱动

前言 近段时间由于项目需求&#xff0c;需要将原来的项目增加应用层的MQTT协议&#xff0c;由于不懂MQTT所以从B站学习了正点原子的lwip课程&#xff0c;看完后需要进行实践&#xff0c;所以选择了ONENET平台来作为学习的时间的平台。 1、学习准备 先下载工具&#xff1a; …

基于STM32的智慧农业管理系统设计与实现

文章目录 一、前言1.1 项目介绍【1】项目功能【2】设计实现的功能【3】项目硬件模块组成 1.2 设计思路1.3 传感器功能介绍1.4 开发工具的选择 二、EMQX开源MQTT服务器框架三、购买ECS云服务器3.1 登录官网3.2 购买ECS服务器3.3 配置安全组3.4 安装FinalShell3.5 远程登录到云服…

stm32的SysTick外设介绍——学习笔记

简介&#xff1a; SysTick即系统定时器是一个内核外设&#xff0c;而不是片上外设&#xff0c;寄存器手册说明需要查看《Cortex-M3编程手册》&#xff0c;具体是哪一款内核就查哪一款内核的手册,我用的stm32f103所以我查的Cortex-M3。 其实就是个24位递减计数器&#xff0c;计一…

STM32学习笔记(二) —— 调试串口

我们在调试程序时&#xff0c;经常会使用串口打印相关的调试信息&#xff0c;但是单片机串口不能直接与 PC 端的 USB 接口通讯&#xff0c;需要用到一个USB转串口的芯片来充当翻译的角色。我们使用的开发板上有这个芯片&#xff0c;所以在打印调试信息的时候直接使用USB线连接开…

【正点原子STM32探索者】CubeMX+Keil开发环境搭建

文章目录 一、简单开箱二、资料下载三、环境搭建3.1 安装Keil MDK3.2 激活Keil MDK3.3 安装STM32CubeMX3.4 安装STM32F4系列MCU的Keil支持包 四、GPIO点灯4.1 查阅开发板原理图4.2 创建STM32CubeMX项目4.3 配置系统时钟和引脚功能4.4 生成Keil项目4.5 打开Keil项目4.6 编译Keil…

I2C驱动AT24C02

文章目录 一、硬件电路设备地址 二、使用步骤字节写:页写入:任意写:任意读: 一、硬件电路 设备地址 设备需要一个8位的设备地址字&#xff0c;后面跟着一个启动条件&#xff0c;以使芯片能够进行读或写操作 设备地址字由一个强制的1,0序列的前四个最有效的位&#xff0c;如所示…

小兴教你做平衡小车-stm32程序开发(I2C控制OLED显示屏)

文章目录 1 前言2 材料准备3 大致研读下I2C手册4 OLED硬件介绍 1 前言 目前市面上的传感器很多&#xff0c;如果是想要通过单片机来控制传感器&#xff0c;那咱们就需要去了解下通讯协议了。比较常见的通讯协议有I2C、SPI、USART等。通讯协议就好比一种约定&#xff0c;约定好…

SPI驱动W25Q64

文章目录 前言一、硬件电路二、W25Q64初始化三、W25Q64读数据四、W25Q64写数据 前言 一、硬件电路 PA15为W25Q64片选线配置为GPIO输出模式 二、W25Q64初始化 通过SPI读取设备ID验证是否能正确读写 static int ReadID(void){uint8_t cmd 0x9F;uint8_t data[3] {0};W25Q64CSL…

【硬件基础】STM32F103C8T6芯片引脚定义及功能介绍

1、引脚图片 2、引脚定义图 3、引脚功能介绍 3.1引脚定义图解释 上表中&#xff0c;S表示电源&#xff0c;IO表示输入输出&#xff0c;FT表示容忍电压可达5V&#xff0c;没有FT的只能达3.3V。 VBAT&#xff0c;备用电源引脚&#xff0c;当系统断电时&#xff0c;备用电源可给…

STM32---通用定时器(二)相关实验

写在前面&#xff1a;前面我们学习了基本定时器、通用定时器的相关理论部分&#xff0c;了解到通用定时器的结构框图&#xff0c;总共包含六大模块&#xff1a;时钟源、控制器、时基单元、输入捕获、公共部分以及输出捕获。对相关模块的使用也做详细的讲解。本节我们主要是对上…

【STM32详解FLASH闪存编程原理与步骤】

STM32详解FLASH闪存编程原理与步骤 FLASH编程注意事项FLASH编程过程STM32的FLASH擦除过程FLASH全片擦除FLASH操作总结锁定解锁函数写操作函数擦除函数获取状态函数等待操作完成函数读FLASH特定地址数据函数 FLASH编程注意事项 1.STM32复位后&#xff0c;FPEC模块是被保护的&am…

Linux第74步_“设备树”下的LED驱动

使用新字符设备驱动的一般模板&#xff0c;以及设备树&#xff0c;驱动LED。 1、添加“stm32mp1_led”节点 打开虚拟机上“VSCode”&#xff0c;点击“文件”&#xff0c;点击“打开文件夹”&#xff0c;点击“zgq”&#xff0c;点击“linux”&#xff0c;点击“atk-mp1”&am…

CLion开发环境 一键替换快捷键

使用快捷键 Ctrl Shift R&#xff08;Windows/Linux&#xff09;或 Command Shift R&#xff08;Mac&#xff09;来打开 "Find and Replace" 对话框。

STM32 | STM32F407ZE中断、按键、灯(续第三天)

上节回顾 STM32 | 库函数与寄存器开发区别及LED等和按键源码(第三天)一、 中断 中断概念 中断是指计算机运行过程中,出现某些意外情况需主机干预时,机器能自动停止正在运行的程序并转入处理新情况的程序,处理完毕后又返回原被暂停的程序继续运行(面试题)。 STM32外部中断…

基于正点原子潘多拉STM32L496开发板的简易示波器

一、前言 由于需要对ADC采样性能的评估&#xff0c;重点在于对原波形的拟合性能。 考虑到数据的直观性&#xff0c;本来计划采集后使用串口导出&#xff0c;并用图形做数据拟合&#xff0c;但是这样做的效率低下&#xff0c;不符合实时观察的需要&#xff0c;于是将开发板的屏幕…

STM32基础--使用寄存器点亮流水灯

GPIO 简介 GPIO 是通用输入输出端口的简称&#xff0c;简单来说就是 STM32 可控制的引脚&#xff0c;STM32 芯片的 GPIO 引脚与外部设备连接起来&#xff0c;从而实现与外部通讯、控制以及数据采集的功能。STM32 芯片的 GPIO被分成很多组&#xff0c;每组有 16 个引脚&#xf…

STM32第七节:GPIO输入——按键检测(包含带参宏)

目录 前言 STM32第七节&#xff1a;GPIO输入——按键检测&#xff08;包含带参宏&#xff09; 带参宏 代码替换展示 定义带参宏 GPIO输入——按键检测 硬件部分 端口输入数据寄存器&#xff08;GPIOx_IDR&#xff09; 编写程序 配置以及编写bsp_key文件 main函数编程…

STM32输入捕获频率和占空比proteus仿真失败

这次用了两天的时间来验证这个功能&#xff0c;虽然实验没有成功&#xff0c;但是也要记录一下&#xff0c;后面能解决了&#xff0c;回来再写上解决的办法&#xff1a; 这个程序最后的实验结果是读取到的CCR1和CCR2的值都是0&#xff0c;所以没有办法算出来频率和占空比。 还…

理解STM32的低功耗模式

低功耗模式简介 TM32的低功耗模式是特别设计来减少微控制器在不活跃状态下的能耗。这些模式允许STM32在保持核心功能的同时尽可能减少电力消耗&#xff0c;适合用在电池供电或需长期运行的场景。理解各种低功耗模式如何节能&#xff0c;主要包括以下几个方面&#xff1a; 关闭…

STM32 晶振(用示波器测RCC频率是否一致)

如果测出的频率不准确&#xff0c;可以修改一下看看是否有改善&#xff1a;

C语言-预处理指令-#ifdef xx #endif-用于检查宏是否被定义

文章目录 问题一&#xff1a;请你描述#ifdef XX #ifdef 语法和 #ifndef XX #endif 语法&#xff1f;&#xff08;区别:n&#xff09;ifdef 基本语法&#xff1a;ifndef基本语法&#xff1a; 问题二&#xff1a;阅读下面代码&#xff0c;看逻辑问题二输出&#xff1a; 问题三&am…

利用 STM32 TIMER 触发 ADC 实现分组转换

1、问题描述 使用 STM32G4 系列芯片开发产品&#xff0c;用到其中一个 ADC 模块的多个通道&#xff0c;他希望使 用 TIMER 来定时触发这几个通道的转换。不过他有两点疑惑。第一&#xff0c;他期望定时器触发这几个 通道是每触发一次则只转换一个通道&#xff0c;这样依次触发…

rt-thread组件之传感器组件编写记录(ds18b20温度传感器)

前言 对接rt-thread的sensor组件编写记录 DS18B20驱动 头文件 // // Created by shchl on 2024/3/14. //#ifndef F4_OS_DS18B20_H #define F4_OS_DS18B20_H#include <rthw.h> #include <rtthread.h> #include "rtdevice.h"struct ds18b20_device {rt…

STM32使用常见错误合集(正在更新版)

本文章记录一些学习STM32的一些错误问题 一、编译、烧录类问题 1、烧录不成功&#xff0c;Keil提示RDDI-DAP Error【场景&#xff1a;PWM驱动直流电机】 解决方案&#xff1a;将电机断开再进行烧录&#xff0c;断开后就可以美美烧录不报错啦~ 二、Keil使用问题 1、打开一个…

STM32移植SFUD

简介 项目地址&#xff1a;https://github.com/armink/SFUD.git SFUD 是一款开源的串行 SPI Flash 通用驱动库。由于现有市面的串行 Flash 种类居多&#xff0c;各个 Flash 的规格及命令存在差异&#xff0c; SFUD 就是为了解决这些 Flash 的差异现状而设计&#xff0c;让我们…

stm32-定时器输出比较PWM

目录 一、输出比较简介 二、PWM简介 三、输出比较模式实现 1.输出比较框图(以通用定时器为例) 2.PWM基本结构 四、固件库实现 1.程序1&#xff1a;PWM呼吸灯 2.程序2&#xff1a;PWM驱动直流电机 3.程序3&#xff1a;控制舵机 一、输出比较简介 死区生成和互补输出一般…

QMI8658芯片I2C驱动开发指南

这个芯片纯国产挺好用的&#xff0c;电路很好设计&#xff0c;我这垃圾焊功&#xff0c;纯手焊&#xff0c;&#xff0c;居然能用。 第一部分 硬件连接 画的很简陋&#xff0c;看看就可以了&#xff0c;这里I2C总线需要接10K上拉没有画出来&#xff0c;这个需要注意一下。 …

1.2 课程架构介绍:STM32H5 芯片生命周期管理与安全调试

1.2 课程架构介绍&#xff1a;STM32H5 芯片生命周期管理与安全调试 下面开始学习课程的第二节&#xff0c;简单介绍下STM32H5芯片的生命周期和安全调试&#xff0c;具体课程大家可以观看STM32官方录制的课程&#xff0c;链接&#xff1a;1.2. 课程架构介绍&#xff1a;STM32H5…

BACnet device对象详解以及协议栈相关代码

文章目录 BACnet 设备对象device详细介绍和应用场景BACnet设备对象类型及其相关的函数查找BACnet设备对象类型的辅助函数获取特定对象类型的特殊属性列表Device_Objects_Property_List处理BACnet协议中的写属性操作 Device_Write_Property_Local处理BACnet协议中的读属性操作 D…

STM32的GPIO初始化配置-学习笔记

简介&#xff1a; 由于刚开始没有学懂GPIO的配置原理&#xff0c;导致后面学习其它外设的时候总是产生阻碍&#xff0c;因为其它外设要使用前&#xff0c;大部分都要配置GPIO的初始化&#xff0c;因此这几天重新学习了一遍GPIO的配置&#xff0c;记录如下。 首先我们要知道芯片…

STM32 学习12 输入捕获与触摸按键

STM32 学习12 输入捕获与触摸按键 一、输入捕获介绍1. 概念2. STM32F1 资源3. 捕获原理 二、输入捕获配置步骤1. 使能时钟、设置端口模式2. 初始化定时器3. 设置捕获参数4. 开启捕获和定时器中断&#xff08;溢出中断|更新中断&#xff09;6. 编写定时器中断服务函数7. 使能定时…

STM32—控制蜂鸣器(定时器)

目录 1 、 电路构成及原理图 2 、编写实现代码 main.c tim_irq.c 3、代码讲解 4、烧录到开发板调试、验证代码 5、检验效果 此笔记基于朗峰 STM32F103 系列全集成开发板的记录。 1 、 电路构成及原理图 定时器中断是利用定时器的计数功能&#xff08;向上计数或向下计…

STM32不使用中断实现定时器微秒级精确延时

我们在写代码的时候避免不了要使用延时函数&#xff0c;很多延时函数都是使用中断或者tick来实现的&#xff0c;tick的方式最大到毫秒ms级别&#xff0c;通过中断方式的通用定时器来实现&#xff0c;如果实现1us的延时那么每1us就来一次中断&#xff0c;很影响cpu的效率。 本文…

STM32芯片杂谈

STM32内部架构 ST公司负责设计除内核以为的硬件&#xff0c;ARM公司负责设计内核架构。ARM公司设计了许多内核架构&#xff0c;不同STM32系列芯片对应的架构也不一样&#xff0c;例如F103系列采用的是Cortex-M3架构&#xff0c;指令集相较于M4会更精简一些。

STM32---DHT11采集与BH1750FVI光照传感器(HAL库、含源码)

写在前面&#xff1a;本节我们学习使用两个常见的传感器模块&#xff0c;分别为DHT11温湿度传感器以及BH1750FVI光照传感器,这两种传感器在对于环境监测中具有十分重要的作用&#xff0c;因为其使用简单方便&#xff0c;所以经常被用于STM32的项目之中。今天将使用分享给大家&a…

stm32启动文件里面的__main和主函数main()

一、__main和main()之间的关系 先来对stm32启动过程简单学习 启动文件里面的Reset_Handler&#xff1a; 调用过程&#xff1a; stm32在启动后先进入重启中断函数Reset_Handler&#xff0c;其中会先后调用SystemInit和__main函数&#xff0c; __main函数属于c库函数&…

【STM32嵌入式系统设计与开发】——5独立按键应用

这里写目录标题 一、任务描述二、任务实施1、SingleKey工程文件夹创建2、函数编辑&#xff08;1&#xff09;主函数编辑&#xff08;2&#xff09;LED IO初始化函数(LED_Init())&#xff08;3&#xff09;开发板按键初始化函数&#xff08;ExpKeyInit()&#xff09;&#xff08…

STM32学习笔记(5_1)- EXTI外部中断理论

无人问津也好&#xff0c;技不如人也罢&#xff0c;都应静下心来&#xff0c;去做该做的事。 最近在学STM32&#xff0c;所以也开贴记录一下主要内容&#xff0c;省的过目即忘。视频教程为江科大&#xff08;改名江协科技&#xff09;&#xff0c;网站jiangxiekeji.com 本期介…

【STM32嵌入式系统设计与开发】——9Timer(定时器中断实验)

这里写目录标题 一、任务描述二、任务实施1、ActiveBeep工程文件夹创建2、函数编辑&#xff08;1&#xff09;主函数编辑&#xff08;2&#xff09;USART1初始化函数(usart1_init())&#xff08;3&#xff09;USART数据发送函数&#xff08; USART1_Send_Data&#xff08;&…

基于STM32的个人健康助手的设计与实现

基于STM32的个人健康助手的设计与实现 摘要&#xff1a; 随着人们生活水平的提高&#xff0c;个人健康管理越来越受到重视。本文设计并实现了一款基于STM32的个人健康助手&#xff0c;旨在通过实时监测用户的体温、心率和血氧等生理指标&#xff0c;提供个性化的健康建议和提醒…

STM32F103 CubeMX 使用USB生成鼠标设备

STM32F103 CubeMX 使用USB生成鼠标设备 1 配置cubeMX1.1配置外部晶振&#xff0c;配置debug口1.2 配置USB1.3 配置芯片的时钟1.4 生成工程 2. 编写代码2.1 添加申明2.2 main函数代码 1 配置cubeMX 1.1配置外部晶振&#xff0c;配置debug口 1.2 配置USB 1.3 配置芯片的时钟 需…

STM32CubeMX学习笔记23---FreeRTOS(任务的挂起与恢复)

1、硬件设置 本实验通过freertos创建两个任务来分别控制LED2和LED3的亮灭&#xff0c;需要用到的硬件资源 LED2和LED3指示灯串口 2、STM32CubeMX设置 根据上一章的步骤创建两个任务&#xff1a;STM32CubeMX学习笔记22---FreeRTOS&#xff08;任务创建和删除&#xff09;-CS…

裸机编程的几种模式、架构与缺陷。

大多数嵌入式的初学者都是从单片机裸机编程开始的&#xff0c;对于初学者来说&#xff0c;裸机编程更加直观、简单&#xff0c;代码所见及所得&#xff0c;调试也非常方便&#xff0c;区别于使用操作系统需要先了解大量的操作系统基础知识&#xff0c;调度的基本常识&#xff0…

成为嵌入式工程师以后才明白的道理

岁月是把杀猪刀&#xff0c;有时看着最近拍的照片&#xff0c;MD&#xff0c;像个糟老头。 有时候一直以为自己心理年龄才20多岁&#xff0c;实际却马上要奔4了。 每天看着工业园区那些熙熙攘攘的00后&#xff0c;感叹年轻真好&#xff0c;感叹属于我们的时代&#xff0c;快要过…

stm32学习——串口通信中的奇偶校验位

常用的校验算法有奇偶校验、校验和、CRC&#xff0c;还有LRC、BCC等不常用的校验算法。 以串口通讯中的奇校验为例&#xff0c;如果数据中1的个数为奇数&#xff0c;则奇校验位0&#xff0c;否则为1。 例如原始数据为&#xff1a;0001 0011&#xff0c;数据中1的个数&#xf…

STM32中MicroLIB的关闭为什么会导致卡死----解析

STM32MicroLIB 大家好我是 MHZ 。最近又开始往回捡单片机的知识了~ 之前大学的时候都没用过 STM 的 CubeMX&#xff0c;这会拿来用着感觉很方便啊~ 果然科技在进步&#xff01; 在开发使用 Keil 对 STM32 进行开发的时候在会有一个叫做 MicroLIB 的选项。 这个的具体原因我搜…

【ARM】MDK在programming algorithm界面添加FLM

【更多软件使用问题请点击亿道电子官方网站查询】 1、 文档目标 解决在programming algorithm界面中无法添加想要的Flash编程算法的问题 2、 问题场景 在对于Debug进行Flash Download进行配置的时候&#xff0c;在programming algorithm界面中有对应的Flash编程算法。可以通过…

STM32(TIM定时器中断)

理论知识 定时器定时中断 接线图 定时器工作配置步骤 定时中断和内外时钟源选择 定时器中需要使用的函数 程序实现效果&#xff1a; void TIM_DeInit(TIM_TypeDef* TIMx); **// 恢复定时器的缺省配置**void TIM_TimeBaseInit(TIM_TypeDef* TIMx, TIM_TimeBaseInitTypeDef*TIM…

Linux第78步_使用原子整型操作来实现“互斥访问”共享资源

使用原子操作来实现“互斥访问”LED灯设备&#xff0c;目的是每次只允许一个应用程序使用LED灯。 1、创建MyAtomicLED目录 输入“cd /home/zgq/linux/Linux_Drivers/回车” 切换到“/home/zgq/linux/Linux_Drivers/”目录 输入“mkdir MyAtomicLED回车”&#xff0c;创建MyA…

Linux第79步_使用自旋锁保护某个全局变量来实现“互斥访问”共享资源

自旋锁使用注意事项:自旋锁保护的“临界区”要尽可能的短。 因此&#xff0c;在open()函数中申请“spinlock_t自旋锁结构变量”&#xff0c;然后在release()函数中释放“spinlock_t自旋锁结构变量”&#xff0c;这种方法就行不通了。如果使用一个变量“dev_stats”来表示“共享…

STM32第九节(中级篇):RCC(第一节)——时钟树讲解

目录 前言 STM32第九节&#xff08;中级篇&#xff09;&#xff1a;RCC——时钟树讲解 时钟树主系统时钟讲解 HSE时钟 HSI时钟 锁相环时钟 系统时钟 SW位控制 HCLK时钟 PCLKI时钟 PCLK2时钟 RTC时钟 MCO时钟输出 6.2.7时钟安全系统(CSS&#xff09; 小结 前言 从…

STM32进阶笔记——FATFS文件系统(下)

本专栏争取每周三更新直到更新完成&#xff0c;期待大家的订阅关注&#xff0c;欢迎互相学习交流。 本文需要一些SD卡和内存管理等前置知识&#xff0c;后续文章会介绍&#xff0c;这里先介绍一下FATFS文件系统。关于FATFS的文章分为上下两篇&#xff0c;上篇主要介绍什么是FAT…

STM32G4高精度定时器的同步功能

1、引言 STM32G474 所含的高精度定时器(HRTIMER)其实包含了多个定时器&#xff0c;多个定时器之间可以单独工作&#xff0c;也可以进行同步&#xff0c;且高精度定时器还能与片上的其他定时器以及其他芯片进行同步&#xff0c;本文将对高精度定时器的同步功能进行介绍。 2、定…

【ARM】DSTREAM上面的各个指示灯代表什么意思?

【更多软件使用问题请点击亿道电子官方网站查询】 1、 文档目标 对于DStream仿真器上面的指示灯亮灭代表的意义进行分析。 2、 问题场景 主要对于DStream仿真器的使用过程中&#xff0c;不同的情况下面仿真器的指示灯会进行相应的亮灭。了解一下不同指示灯的亮灭所提示的信息…

电机学(笔记四)

变压器的视在功率是指交流电源所能提供的总功率&#xff0c;也称为表现功率。在数值上&#xff0c;它是交流电路中电压与电流的乘积&#xff0c;用字母S表示&#xff0c;单位为伏安&#xff08;VA&#xff09;或千伏安&#xff08;KVA&#xff09;。视在功率既不等于有功功率&a…

STM32-01基于HAL库(CubeMX+MDK+Proteus)仿真开发环境搭建(LED点亮测试实例)

STM32-01基于HAL库&#xff08;CubeMXMDKProteus&#xff09;仿真开发环境搭建&#xff08;LED点亮测试实例&#xff09; 一、 开发工具版本列表二、安装过程三、实例测试&#xff08;点亮单个LED&#xff09;0、功能需求分析1、Proteus绘制电路原理图2、STMCubeMX 配置引脚及模…

STM32利用ADC和DMA外设读取4路电压值Oled显示

今天早晨把昨天学习的内容又重新写了一遍&#xff0c;防止自己的记忆不够深刻&#xff0c;特此又写了这篇博文&#xff0c;从造成5点点起床到现在终于搞完了&#xff0c;有点小错误也修改过来了&#xff0c;下午再写一遍&#xff0c;差不多就记住了。下面就是今天早晨写的Addma…

STM32的USART能否支持9位数据格式话题

1、问题描述 STM32L051 这款单片机。平常的 USART 串口传输是 8 位数据&#xff0c;但是他的项目需要用串口传输 9 位数据。当设置为 8 位数据时&#xff0c;串口响应中断正常。但是&#xff0c;当设置为 9 位数据时&#xff0c;串口就不产生中断了。USART2 的 ISR 寄存器 RXN…

【STM32 定时器(二)TIM 输入捕获PWM 总结】

STM32定时器之输入捕获总结 OC介绍PWM介绍PWM初始化代码部分开启时钟配置时基单元配置CCR配置GPIO配置复用和重定义功能 开启定时器代码实现 &#xff1a;实现呼吸灯 OC介绍 PWM介绍 PWM参数计算 分辨率越细&#xff0c;分的分量越精细&#xff0c;越稳定&#xff0c;假如它为…

像51单片机一样----STM32寄存器点灯

#define RCC_APB2ENR *(volatile unsigned int *)(0x40021018) //通过数据手册查询这个到这个寄存器&#xff0c;通过指针的方式&#xff0c;指向这个地址。 #define GPIOC_CRH *(volatile unsigned int *)(0x40011004) #define GPIOC_ODR *(volatile unsigned int *)(0x4…

STM32CubeIDE基础学习-USART串口通信实验(轮询方式)

STM32CubeIDE基础学习-USART串口通信实验&#xff08;轮询方式&#xff09; 文章目录 STM32CubeIDE基础学习-USART串口通信实验&#xff08;轮询方式&#xff09;前言第1章 硬件介绍第2章 工程配置2.1 工程外设配置部分2.2 生成工程代码部分 第3章 代码编写3.1 串口发送3.1.1 发…

STM32/GD32——FreeRTOS任务管理与相关机制

芯片选型 Ciga Device — GD32F470系列 任务管理 任务处理API 操作 API 动态任务创建 xTaskCreate 任务删除 vTaskDelete 静态任务创建 vTaskCreateStatic 挂起任务 vTaskSuspend 恢复任务 vTaskResume 任务创建 BaseType_t xTaskCreate( TaskFunction_t pxTa…

(学习日记)2024.03.18:UCOSIII第二十节:移植到STM32

写在前面&#xff1a; 由于时间的不足与学习的碎片化&#xff0c;写博客变得有些奢侈。 但是对于记录学习&#xff08;忘了以后能快速复习&#xff09;的渴望一天天变得强烈。 既然如此 不如以天为单位&#xff0c;以时间为顺序&#xff0c;仅仅将博客当做一个知识学习的目录&a…

keil软件不能连接STM32,烧录程序无法执行

提示&#xff1a;文章写完后&#xff0c;目录可以自动生成&#xff0c;如何生成可参考右边的帮助文档 文章目录 问题现象解决方法 问题现象 提示&#xff1a;这里可以添加本文要记录的大概内容&#xff1a; 最近在学习江科大的STM32的时候&#xff0c;学到11-2 硬件SPI读写W2…

STM32嵌入式开发需要掌握硬件、嵌入式系统、C编程语言以及相关的外设驱动等知识

学习STM32嵌入式开发需要掌握硬件、嵌入式系统、C编程语言以及相关的外设驱动等知识。以下是学习STM32的路线及重要的学习内容&#xff1a; 阶段学习内容目标1.基础知识- 理解嵌入式系统的基本概念和原理 - 了解STM32系列微控制器的特点和应用领域掌握嵌入式系统基本概念&…

学嵌入式开发都有哪些困难?

前几天和徐工喝酒&#xff0c;我讲了一句话&#xff0c;让大家产生了极大的共鸣。 我说&#xff1a;凡事往好处想&#xff0c;往好的方面做&#xff0c;事情就能变好。 这句话&#xff0c;是我很久以前&#xff0c;在网上看到的。 第一次看到的时候&#xff0c;我想&#xff0c…

STM32+hal+MPU6050读取陀螺仪,温度传感器数据

STM32halMPU6050读取陀螺仪&#xff0c;温度传感器数据 MPU6050代码相关打印相关的函数MPU芯片初始化的代码main函数 之前也写过一篇&#xff0c;这边重新使用hal库再写一遍&#xff0c; MPU6050 这个芯片已经是被用了很多年的芯片了&#xff0c;我收拾自己东西的时候发现有十…

RS485与CAN

RS485与CAN的区别&#xff1a; RS485和CAN信号都是差分信号&#xff0c;所以传输介质没有差别。RS485采用星型拓扑结构设计&#xff0c;单个节点控制多个从机&#xff0c;CAN采用无中心化节点设计&#xff0c;属于多节点控制。CAN的通信速度要比RS485高。CAN的通信距离最大支持…

STM32最小核心板使用HAL库ADC读取MCU温度(使用DMA通道)

STM32自带CPU的温度数据&#xff0c;需要使用ADC去读取。因此在MX创建项目时如图配置&#xff1a; 模块初始化代码如下&#xff1a; void MX_ADC1_Init(void) {/* USER CODE BEGIN ADC1_Init 0 *//* USER CODE END ADC1_Init 0 */ADC_ChannelConfTypeDef sConfig {0};/* USER…

【HM】STM32F407 HAL库 定时器

基本概念 兆赫兹 1MHZ&#xff08;兆赫兹&#xff09;是频率的单位&#xff0c;表示每秒周期性震动1,000,000次。 预分频器 不分频 2分频&#xff0c;两个脉冲输出一次 三分频 自动重装载寄存器 当计时器里的计数器自动重装载寄存器值&#xff0c;计数器清零 定时器分类 …

电机学(笔记一)

磁极对数p&#xff1a; 直流电机的磁极对数是指电机定子的磁极对数&#xff0c;也等于电机电刷的对数。它与电机的转速和扭矩有直接关系。一般来说&#xff0c;极对数越多&#xff0c;电机转速越低&#xff0c;扭矩越大&#xff0c;适用于低速、高扭矩的场合&#xff1b;相反&…

STM32学习笔记(8_1)- DMA直接存储器存取

无人问津也好&#xff0c;技不如人也罢&#xff0c;都应静下心来&#xff0c;去做该做的事。 最近在学STM32&#xff0c;所以也开贴记录一下主要内容&#xff0c;省的过目即忘。视频教程为江科大&#xff08;改名江协科技&#xff09;&#xff0c;网站jiangxiekeji.com 本期开…

stm32之GPIO寄存器

文章目录 1 背景2 GPIO寄存器的类型2.1 端口配置寄存器2.2 设置/清除寄存器和位清除寄存器 3 总结 1 背景 C51单片机在进行数据的输入输出时&#xff0c;是直接操作与外部引脚关联的内部寄存器&#xff0c;例如&#xff0c;当设置P2_1为0时&#xff0c;就是将外部引脚的P21引脚…

STM32之HAL开发——RCC外设CubeMX配置时钟

RCC外设介绍 RCC是Reset and Clock Control (复位和时钟控制)的缩写&#xff0c;它是STM32内部的一个重要外设&#xff0c;负责管理各种时钟源和时钟分频&#xff0c;以及为各个外设提供时钟使能。RCC模块可以通过寄存器操作或者库函数来配置。 RCC是复位和时钟控制模块&#…

STM32/GD32——自己制定协议和解析协议数据(可能随时弃坑)

温馨提醒&#xff1a; 由于我最害怕的就是接触各种新协议&#xff0c;尤其是对各种协议和解析协议数据简直就是职业生涯的噩梦&#xff0c;但工作中不免和不同的协议打交道。本着要啃就啃最难的&#xff0c;大不了放弃的心态。所以我学习了如何自定义制定自己的协议&#xff0c…

STM32之HAL开发——系统定时器(SysTick)

系统定时器&#xff08;SysTick&#xff09;介绍 SysTick—系统定时器是属于 CM3 内核中的一个外设&#xff0c;内嵌在 NVIC 中。系统定时器是一个 24bit的向下递减的计数器&#xff0c;计数器每计数一次的时间为 1/SYSCLK&#xff0c;一般我们设置系统时钟 SYSCLK等于 72M。当…

STM32看似无法唤醒的一种异常现象分析

1. 引言 STM32 G0 系列产品具有丰富的外设和强大的处理性能以及良好的低功耗特性&#xff0c;被广泛用于各类工业产品中&#xff0c;包括一些需要低功耗需求的应用。 2. 问题描述 用户使用 STM32G0B1 作为汽车多媒体音响控制器的控制芯片&#xff0c;用来作为收音机频道存贮…

stm32之GPIO电路介绍

文章目录 1 GPIO介绍2 GPIO的工作模式2.1 浮空输入2.2 上拉输入2.3 下拉输入2.4 模拟输入2.5 开漏输出2.6 推挽输出2.7 复用开漏输出2.8 复用推挽输出2.9 其他 3 应用方式4 常用库函数 1 GPIO介绍 保护二极管&#xff1a;保护引脚&#xff0c;让引脚的电压位于正常的范围施密特…

STM32之HAL开发——手动移植HAL库

HAL库移植步骤 创建目录 配置启动文件 在\Drivers\CMSIS\Device\ST\stm32f1xx\Source\Templates\ARM目录下&#xff0c;根据你的芯片型号选择对应的启动文件&#xff0c;不同容量大小的芯片&#xff0c;对应的启动文件也不一样。 注意&#xff1a;在HAL库中&#xff0c;不同容…

STM32CubeIDE 使用正点原子的内存管理MALLOC-可直接替换使用

STM32CubeIDE 使用正点原子的内存管理MALLOC 一 keil原代码1.1 malloc.h1.2 malloc.C二 STM32CubeIDE 修改好的代码2.1 malloc.h2.2 malloc.C在正点原子开发板stm32F767igt6 平台。 一 keil原代码 1.1 malloc.h #ifndef _MALLOC_H #define _MALLOC_H #include "sys.h&q…

基于STM32C8T6的智能蓝牙小车控制设计

**单片机设计介绍&#xff0c;基于STM32C8T6的智能蓝牙小车控制设计 文章目录 一 概要二、功能设计设计思路 三、 软件设计原理图 五、 程序六、 文章目录 一 概要 基于STM32C8T6的智能蓝牙小车控制设计是一个综合了硬件与软件设计的项目&#xff0c;旨在实现小车的智能控制、…

【STM32嵌入式系统设计与开发】——11Exit(外部中断应用实验)

这里写目录标题 一、任务描述二、任务实施1、ActiveBeep工程文件夹创建2、函数编辑&#xff08;1&#xff09;主函数编辑&#xff08;2&#xff09;USART1初始化函数(usart1_init())&#xff08;3&#xff09;USART数据发送函数&#xff08; USART1_Send_Data&#xff08;&…

STM32 使用gcc编译介绍

文章目录 前言1. keil5下的默认编译工具链用的是哪个2. Arm编译工具链和GCC编译工具链有什么区别吗&#xff1f;3. Gcc交叉编译工具链的命名规范4. 怎么下载gcc-arm编译工具链参考资料 前言 我们在STM32上进行开发时&#xff0c;一般都是基于Keil5进行编译下载&#xff0c;Kei…

单片机FLASH深度解析和编程实践(上)

本篇文章主要针对单片机FLASH编程和FLASH基本原理进行学习分享。以STM32单片机作为实例进行编程实训。 关于FLASH操作的相关寄存器及编程&#xff0c;大家可以参考下一篇文章: 单片机FLASH深度解析和编程实践&#xff08;下&#xff09;-CSDN博客 目录 一、STM32编程方式 二、…

STM32-Flash闪存

简介 STM32F1系列的FLASH包含程序存储器、系统存储器和选项字节三个部分&#xff0c;通过闪存存储器接口&#xff08;外设&#xff09;可以对程序存储器和选项字节进行擦除和编程。 读写Flash的用途 1.利用程序存储器的剩余空间来保存掉电不丢失的用户数据。 2.通过在程序中…

【STM32定时器(一)内部时钟定时与外部时钟 TIM小总结】

STM32 TIM详解 TIM介绍定时器类型基本定时器通用定时器高级定时器常用名词时序图预分频时序计数器时序图 定时器中断配置图定时器定时 代码调试代码案例1代码案例2 TIM介绍 定时器&#xff08;Timer&#xff09;是微控制器中的一个重要模块&#xff0c;用于生成定时和延时信号…

【单片机概念基础】迟迟搞不懂预分频系数、PWM占空比等等概念和计算怎么办?

提示&#xff1a;文章写完后&#xff0c;目录可以自动生成&#xff0c;如何生成可参考右边的帮助文档 文章目录 前言一、分频系数1.1 为什么需要分频系数1.2 分频系数怎么计算 二、PWM占空比PWM占空比是什么 总结 前言 在学习单片机的过程中&#xff0c;预分频系数和PWM&#…

蓝桥杯物联网竞赛_STM32L071_12_按键中断与串口中断

按键中断&#xff1a; 将按键配置成GPIO_EXTI中断即外部中断 模式有三种上升沿&#xff0c;下降沿&#xff0c;上升沿和下降沿都会中断 external -> 外部的 interrupt -> 打断 trigger -> 触发 detection -> 探测 NVIC中将中断线ENABLE 找接口函数 在接口函数中写…

STM32 软件I2C方式读取AS5600磁编码器获取角度例程

STM32 软件I2C方式读取AS5600磁编码器获取角度例程 &#x1f516;本例程使用正点原子例程作为工程模板创建。 &#x1f4d8; 硬件电路部分 &#x1f33f;原理图部分&#xff1a; &#x1f33f;PCB布线和电路 ✨注意事项&#xff1a;有些硬件需要I2C上拉&#xff0c;否则检…

实现FLASH跨页写入,不采用先读取后写入的方式,提高内存利用率,从任意FLASH地址写入指定长度的 通用处理函数框架

1、需求背景 FLASH跨页写入是一个常见的需求,特别是在需要向FLASH中写入任意长度数据,且这些数据可能跨越多个FLASH页时。由于FLASH的写入通常是以页为单位的擦除操作,因此跨页写入时需要特别注意边界条件。 下面是一个简单的示例,以STM32F103为例,展示了如何编写一个通…

stm32f103c8t6学习笔记(学习B站up江科大自化协)-DMA

DMA简介 DMA主要用于协助CPU完成数据转运的工作 DMA&#xff0c;英文全称Direct Memory Access&#xff0c;DMA这个外设是可以直接访问STM32内部存储器的&#xff0c;包括运行内存SRAM&#xff0c;程序存储器flash和寄存器等等&#xff0c;DMA都有权限访问&#xff0c;所以DMA能…

FreeRTOS移植STM32F103开发板HAL库版本

FreeRTOS移植STM32F103开发板HAL库版本&#xff1a; 1&#xff1a;下载FreeRTOS源码 第一步首先去FreeRTOS官网&#xff1a;FreeRTOS - Market leading RTOS (Real Time Operating System) for embedded systems with Internet of Things extensions 下载最新源码。下载下来…

【STM32嵌入式系统设计与开发】——12IWDG(独立看门狗应用)

这里写目录标题 一、任务描述二、任务实施1、ActiveBeep工程文件夹创建2、函数编辑&#xff08;1&#xff09;主函数编辑&#xff08;2&#xff09;USART1初始化函数(usart1_init())&#xff08;3&#xff09;USART数据发送函数&#xff08; USART1_Send_Data&#xff08;&…

STM32系统结构及总线介绍

1、学习路径 STM32中文参考手册中的第二章存储器和总线构架 2、系统架构&#xff08;中等容量芯片stm32f103c8&#xff09; 在小容量、中容量和大容量产品中,主系统由以下部分构成: 四个驱动单元&#xff1a; CortexTM-M3内核DCode总线&#xff08;D-bus&#xff09;&#…

STM32利用标准库实现串口接收数据

先看下本次实验的结果吧&#xff1a; 这次的代码是在上个文章代码的基础上有一些更改而来的&#xff0c;具体更改了何处来看看图吧&#xff1a; 总共就更改了这些内容&#xff0c;就实现了单片机的串口发送接收的功能&#xff0c;看起来还是很简单的吧&#xff01; 剩下就是主…

STM32学习笔记(6_6)- TIM定时器的输入捕获模式测频率和PWMI模式测频率占空比代码

无人问津也好&#xff0c;技不如人也罢&#xff0c;都应静下心来&#xff0c;去做该做的事。 最近在学STM32&#xff0c;所以也开贴记录一下主要内容&#xff0c;省的过目即忘。视频教程为江科大&#xff08;改名江协科技&#xff09;&#xff0c;网站jiangxiekeji.com 现在开…

STM32CubeMX+freeRTOS+事件组 多任务处理LED和串口打印

摘要:利用CubeMx配置freeeRTOS建立任务并使用事件组实现按键按下时 LED开关和打印信息到串口,上位机接收显示。 验证STM32CubeMx配置的FreeRTOS的任务和事件组使用 方案:按下Key1,绿灯亮或者灭,同时串口打印Key1被按下了到上位机;相关端口和串口配置省略。 新建三个任务…

第十二届蓝桥杯物联网试题(省赛)

思路&#xff1a; 这个考了一个RTC的配置&#xff0c;RTC我只配过一次&#xff0c;所以有些生疏&#xff0c;还是不能大意&#xff0c;一些偏僻的考点还是要多练&#xff0c;在获取RTC时间的时候也遇到一些bug,这个后续会用一篇博客将最近遇到的BUG都总结一下 主要的难点还是…

SUB-1G替代CMT2380F32 SOC芯片DP4306F 32 位ARM Cortex-M0+内核应用无线遥控工控设备智能家居

DP4306F是一款高性能低功耗的单片集成收发机&#xff0c;集成MO核MCU&#xff0c;工作频率可覆盖200MHiz^ 1000MHz。 支持230/408/433/470/868/915频段。该芯片集成了射频接收器、射频发射器、频率综合器、GFSK调制器、GFSK解调器等功能模块。通过SPI接口可以对输出功率、频道选…

嵌入式|蓝桥杯STM32G431(HAL库开发)——CT117E学习笔记11:数字电位器MCP4017

系列文章目录 嵌入式|蓝桥杯STM32G431&#xff08;HAL库开发&#xff09;——CT117E学习笔记01&#xff1a;赛事介绍与硬件平台 嵌入式|蓝桥杯STM32G431&#xff08;HAL库开发&#xff09;——CT117E学习笔记02&#xff1a;开发环境安装 嵌入式|蓝桥杯STM32G431&#xff08;…

STM32技术打造:智能考勤打卡系统 | 刷卡式上下班签到自动化解决方案

文章目录 一、简易刷卡式打卡考勤系统&#xff08;一&#xff09;功能简介原理图设计程序设计 哔哩哔哩&#xff1a; https://www.bilibili.com/video/BV1NZ421Y79W/?spm_id_from333.999.0.0&vd_sourcee5082ef80535e952b2a4301746491be0 一、简易刷卡式打卡考勤系统 &…

STM32串口收发单字节数据原理及程序实现

线路连接&#xff1a; 显示屏的SCA接在B11&#xff0c;SCL接在B10&#xff0c;串口的RX连接A9&#xff0c;TX连接A10。 程序编写&#xff1a; 在上一个博客中实现了串口的发送代码&#xff0c;这里实现串口的接收代码&#xff0c;在上一个代码的基础上增加程序功能。 Seiral.…

STM32的简介

内存 一般MCU包含的存储空间有FLASH和RAM,&#xff08;RAM和flash又有片上和片外的区别&#xff0c;片上表示mcu自带的&#xff0c;已经封装在MCU内部的&#xff0c;片外表示外挂的&#xff0c;当项目中需要做一些复杂的应用&#xff0c;会存在资源不足的情况&#xff0c;这时…

STM32的IAP计数,BootLoader

来源 三种下载方式&#xff1a; 1、ICP&#xff1a;ST-Link, 2、ISP: FlyMcu, 3、IAP IAP简介 IAP技术的核心在于BootLoader程序的设计&#xff0c;这段程序预先烧录在单片机中&#xff0c;正常的APP程序可以使用BootLoader程序中的IAP功能写入&#xff0c;也可以两部分代码一…

STM32之HAL开发——HAL库框架介绍

HAL库外设设计思想 HAL库借鉴面向对象的设计思想&#xff0c;将外设驱动封装为对象。 HAL库使用主线 HAL使用的主要用在俩个地方&#xff0c;无外乎外设初始化以及外设的使用。想用好这两个功能&#xff0c;我们首先得对外设的封装有一定的了解。 句柄结构体 xx_HandleTypeDef…

STM32通用输入输出

一、GPIO介绍 功能&#xff1a; 输入&#xff08;Input&#xff09;&#xff1a; 浮空:输入没有接上拉和下拉 模拟&#xff1a;输入没有走上拉和下拉走的是模拟输入 上拉&#xff1a;上拉电阻是合上的&#xff0c;接入点为上拉电阻 下拉&#xff1a;下拉电阻是合上的 输…

Keil5快速使用

注册机链接如下 链接&#xff1a;百度网盘 请输入提取码 提取码&#xff1a;xim0 --来自百度网盘超级会员V4的分享 ① 打开Keil5软件 ② 在打开的对话框中复制自己软件的ID&#xff0c;然后粘贴到注册机对应的位置。 ③ 复制到注册机中后点击Generate&#xff08;注意&…

STM32收发HEX数据包

在实际应用中&#xff0c;STM32的串口通信都是以数据包格式进行收发&#xff0c;这个数据包一般都包含包头和包尾&#xff0c;表示一个数据包。源代码在文末给出 数据包格式&#xff1a; 固定长度&#xff0c;含包头包尾 可变包长&#xff0c;含包头包尾 问题1&#xff1a;当…

STM32G473之flash存储结构汇总

STM32G4系列单片机&#xff0c;为32位的微控制器&#xff0c;理论上其内部寄存器地址最多支持4GB的命名及查找&#xff08;2的32次方&#xff0c;地址命名为0x00000000至0xFFFFFFFF&#xff09;。STM32官方对4GB的地址存储进行编号时&#xff0c;又分割成了8个block区域&#x…

STM32CubeMX学习笔记24---FreeRTOS(消息队列)

一. 队列简介 队列是为了任务与任务、任务与中断之间的通信而准备的&#xff0c;可以在任务与任务、任务与中 断之间传递消息&#xff0c;队列中可以存储有限的、大小固定的数据项目。任务与任务、任务与中断之 间要交流的数据保存在队列中&#xff0c;叫做队列项目。队列…

STM32第八节:位带操作——GPIO输出和输入

前言 我们讲了GPIO的输出&#xff0c;虽然我们使用的是固件库编程&#xff0c;但是最底层的操作是什么呢&#xff1f;对&#xff0c;我们学习过51单片机的同学肯定学习过 sbit 修改某一位的高低电平&#xff0c;从而实现对于硬件的控制。那么我们现在在STM32中有没有相似的操作…

STM32最小核心板使用HAL库实现CAN接口通讯(轮询方式)

这里使用了CAN1的接口&#xff0c;具体使用MX创建项目就不放了 需要注意的是&#xff0c;由于是最小核心没有CAN的收发模块需要外接一个 STM32核心板接CAN收发模块不需要交叉 /**CAN GPIO ConfigurationPA11 ------> CAN_RXPA12 ------> CAN_TX */ CAN收发模块…

STM32关于使用定时器触发ADC转换的理解

以STM32 ADC的常规通道为例&#xff08;注入通道类似&#xff09;&#xff1a; 如上图&#xff0c;STM32 ADC的常规通道可以由以上6个信号触发任何一个&#xff0c;我们以使用TIM2_CH2触发ADC1&#xff0c;独立模式&#xff0c;每次仅测一条通道&#xff0c;则ADC的配置如下&am…

嵌入式-4种经典继电器驱动电路-单片机IO端口/三极管/达林顿管/嵌套连接

文章目录 一&#xff1a;继电器原理二&#xff1a;单片机驱动电路三&#xff1a;经典继电器驱动电路方案3.1 继电器驱动电路方案一&#xff1a;I/O端口灌电流方式的直接连接3.1.1 方案一的继电器特性要求3.1.2 方案一可能会损坏I/O口 3.2 继电器驱动电路方案二&#xff1a;三极…

[STM32] Keil 创建 HAL 库的工程模板

Keil 创建 HAL 库的工程模板 跟着100ASK_STM32F103_MINI用户手册V1.1.pdf的第7章步骤进行Keil工程的创建。 文章目录 1 创建相关文件夹2 创建“main.c/h”和“stm32f1xx_clk.c/h”3 复制CMSIS和HAL库4 创建新的Keil工程5 添加组文件夹和工程文件6 配置Keil设置 1 创建相关文件…

使用 OpenOCD 来调试 STM32

STM32 在 Windows 上的交叉编译二 调试 在上一篇博客 《在Windows上交叉编译STM32(环境搭建)》 &#xff0c;已经让 CubeMX 生成的工程成功编译&#xff0c;并下载到板子上了。 这篇博客主要继续介绍接下来的步骤&#xff0c;调试。硬件是使用的 ST-LINK &#xff0c;别的也无…

matlab和stm32的安装环境。能要求与时俱进吗,en.stm32cubeprg-win64_v2-6-0.zip下载太慢了

STM32CubeMX 6.4.0 Download STM32CubeProgrammer 2.6.0 Download 版本都更新到6.10了&#xff0c;matlab还需要6.4&#xff0c;除了st.com其他地方都没有下载的,com.cn也没有。曹 还需要那么多固件安装。matlab要求制定固件位置&#xff0c;然后从cubemx中也指定…

29-中断管理

中断管理 什么是中断管理&#xff1f; 用户可以自定义配置系统可管理的最高中断优先级的宏定义configLIBRARY_MAX_SYSCALL_INTERRUPT_PRIORITY&#xff08;高四位有效&#xff09;&#xff0c;它是用于配置内核中的basepri 寄存器的&#xff0c;当 basepri 设置为某个值的时候…

专栏订阅-线上答疑通知

由于近期有很多大一的同学已经快速的做完了学院要求的9个lab&#xff0c;并且开始准备project。对于project存在很多问题。同时大部分的同学对制作小车非常的感兴趣&#xff0c;但又不知从何下手。博主针对于上述问题&#xff0c;将会在四月中旬左右开展一次统一的线上答疑&…

STM32重要参考资料

stm32f103c8t6 一、引脚定义图 二、时钟树 三、系统结构图 四、启动配置 &#xff08;有时候不小心短接VCC和GND&#xff0c;芯片会锁住&#xff0c;可以BOOT0拉高试试&#xff08;用跳线帽接&#xff09;&#xff09; 五、最小系统原理图 可用于PCB设计 六、常见折腾人bug…

蓝桥杯嵌入式(G431)备赛笔记——LED

目录 cubeMX配置&#xff1a; 代码模板&#xff1a; 注意&#xff1a; cubeMX配置&#xff1a; 原理图&#xff0c;其中PD2高电平使能锁存器&#xff0c;PC8-15默认给高电平&#xff0c;放置上电初始化LED亮 74HC573是八路输出锁存器 1脚是使能&#xff0c;低电平有效&#…

stm32 IIC协议

该文章为大家介绍了 IIC协议 的基础知识。 文章目录 前言一、IIC 概念二、IIC 信号三、IIC 数据传输格式3.1 写操作3.2 读操作 四、UART/IIC总线区别总结 前言 一、IIC 概念 IIC协议是由数据线SDA和时钟SCL构成的串行总线&#xff0c;可发送和接收数据,是一个多主机的半双工通…

STM32项目设计:基于stm32f1的智能门锁(附项目视频全套教程)

最近假期比较闲,拿着之前剩下的模块做了一个小玩具, 先制定一下此次玩具的规划,也可以理解为简易项目书。 开发软件&#xff1a;keil 硬件选型&#xff1a;STM32F103C8T6、RFID读卡器、oled屏幕、按键模块、蓝牙通信模块、蜂鸣器、舵机; 上位机&#xff1a; 1.上位机可以对密…

AFCI 应用笔记二之数据采集

1. 简介 基于监督学习的神经网络算法需要大量数据作为输入&#xff0c;模型完全由数据驱动&#xff0c;其数据质量是算法有效的必要条件&#xff0c;所以如何高效的采集到数据&#xff0c;以及正确的标注或分析是极其重要的&#xff0c;如果第一步有问题&#xff0c;后续的所有…

【蓝桥杯嵌入式】第十三届省赛(第二场)

目录 0 前言 1 展示 1.1 源码 1.2 演示视频 1.3 题目展示 2 CubeMX配置(第十三届省赛第二场真题) 2.1 设置下载线 2.2 HSE时钟设置 2.3 时钟树配置 2.4 生成代码设置 2.5 USART1 2.5.1 基本配置 2.5.2 NVIC 2.5.3 DMA 2.6 TIM 2.6.1 TIM2 2.6.2 TIM4 2.6.3 …

simulink 的stm32 ADC模块输出在抽筋,不知为何

% outtypecast(uint16(1000),uint8) % 10003E8,E8232,out232 3 function [y,len] myfcn(u1) headuint8([255 85]);%帧头 out1typecast(uint16(u1),uint8); % out2typecast(uint16(u2),uint8); y[head,out1]; lenuint16(length(y)); 2023b版本&#xff0c;stm32硬件支持…

嵌入式|蓝桥杯STM32G431(HAL库开发)——CT117E学习笔记13:RTC实时时钟

系列文章目录 嵌入式|蓝桥杯STM32G431&#xff08;HAL库开发&#xff09;——CT117E学习笔记01&#xff1a;赛事介绍与硬件平台 嵌入式|蓝桥杯STM32G431&#xff08;HAL库开发&#xff09;——CT117E学习笔记02&#xff1a;开发环境安装 嵌入式|蓝桥杯STM32G431&#xff08;…

STM32之HAL开发——QSPI协议介绍

QSPI协议 OSPI是Oueued SPl的简写&#xff0c;是Motorola公司推出的 SPI接口的扩展&#xff0c;比SPI应用更加广泛。在 SPI协议的基础上&#xff0c;Motorola公司对其功能进行了增强&#xff0c;增加了队列传输机制&#xff0c;推出了队列串行外围接口协议(即 OSPI协议)。OSPI…

STM32学习和实践笔记(4):分析和理解GPIO_InitTypeDef GPIO_InitStructure (c)

第二个成员变量是GPIOSpeed_TypeDef GPIO_Speed&#xff1b;也与int a一样同理。 GPIOSpeed_TypeDef是一个枚举类型&#xff0c;其定义如下&#xff1a; typedef enum { GPIO_Speed_10MHz 1, GPIO_Speed_2MHz, GPIO_Speed_50MHz }GPIOSpeed_TypeDef; #define IS_GPI…

STM32一个地址未对齐引起的 HardFault 异常

1. 概述 客户在使用 STM32G070 的时候&#xff0c;KEIL MDK 为编译工具&#xff0c;当编译优化选项设置为Level0 的时候&#xff0c;程序会出现 Hard Fault 异常&#xff0c;而当编译优化选项设置为 Level1 的时候&#xff0c;则程序运行正常。表面上看&#xff0c;这似乎是 K…

EtherCAT主站SOEM -- 28 -- STM32F767-SOEM通过 CSV模式(周期同步速度模式)控制一个电机转圈圈

EtherCAT主站SOEM -- 28 -- STM32F767-SOEM通过 CSV模式(周期同步速度模式)控制一个电机转圈圈 0 QT-SOEM视频预览及源代码下载:0.1 QT-SOEM视频预览0.2 QT-SOEM源代码下载1 程序文件修改替换1.1 allvalue.h1.2 allvalue.c1.3 motrorcontrol.h1.4 motrorcontrol.cpp1.5 main…

配置STM32单片机的两个高速输入端子接受AB相高速脉冲信号输入的方法

目录 一、涉及到的知识点 1.为了正确地记录AB相高速脉冲信号的计数&#xff0c;您需要使用一个计数器的两个不同的通道 2.可以使用高精度计数器的两个不同通道来进行AB相高速脉冲信号的计数 二、使用STM32单片机的两个高速输入端子接受AB相高速脉冲信号输入 1. 配置GPIO引…

HNUST湖南科技大学嵌入式开发板使用-2024

目录 1.需要准备的软件(版本必须相同)꒰ঌ( ⌯ ⌯)໒꒱ 2.下载链接地址⌯▾⌯ 3.软件安装教程 4.安装好了&#xff0c;正常情况会是什么样子呢&#xff1f;(๑•̌.•๑) 4.1.拆入第一个接口(串口com接口是用来上传代码的ฅ˙Ⱉ˙ฅ) 4.2.拆入第三个接口&#xff08;SWD Jlink口…

stm32与esp8266WIFI模块

硬件介绍 WIFI模块ESP-01S 使用AT指令控制1-ESP8266-AT指令初试化及部分基础知识_ch_pd-CSDN博客 项目需求 通过ESP-01SWIFI模块控制LED状态模拟插座 串口1用于与ESP8266通讯&#xff0c;串口2连接PC&#xff0c;用于打印log&#xff0c;查看系统状态 项目接线 将WIFI模块的…

STM32 F401/411外设内部互联矩阵摘要

STM32 F401/411外设内部互联矩阵摘要 &#x1f4cd;参考文档AN4646&#xff1a;https://www.stmcu.com.cn/Designresource/detail/localization_document/709908(中译) -&#x1f4cc; 相关工程案例《HAL STM32主从定时器联级使用》、《STM32G4 TIM1触发ADC转换》 &#x1f4d…

蓝桥杯物联网竞赛_STM32L071_16_EEPROM

仍然是没有考过的知识点 朴素的讲就是板子中一块不会因为断电重启而导致数值初始化的一片地址 要注意的是有时候容易把板子什么写错导致板子什么地址写坏了导致程序无法烧录&#xff0c;这个时候记得一直按flash键烧录&#xff0c;烧录时会报错&#xff0c;点击确定&#xff0…

STM32无刷电机全套开发资料(源码、原理图、PCB工程及说明文档)

目录 1、原理图、PCB、BOOM表 2、设计描述 2.1 前言 2.2 设计电路规范 3、代码 4、资料清单 资料下载地址&#xff1a;STM32无刷电机全套开发资料(源码、原理图、PCB工程及说明文档) 1、原理图、PCB、BOOM表 2、设计描述 2.1 前言 经过一个星期的画PCB&#xff0c;今…

STM32中C编程引入C++程序

C具备类的创建思想很实用于实际场景多相似性的框架搭建&#xff1b;同种类型或相似类型的C的优势明显因此进行相互嵌套使用 需要在C中使用C类的话&#xff0c;你可以通过C的“extern "C"”语法来实现。这允许你在C代码中使用C的链接方式&#xff0c;而在C代码中使用…

STM32——系统定时器详解

STM32系统定时器详解 SysTick简介 系统定时器&#xff0c;24位&#xff0c;只能递减&#xff0c;存在于内核&#xff0c;嵌套在NVIC中&#xff0c;所有的Cortex-M内核的单片机都具有这个定时器。 首先我们来看一下SysTick功能框图 counter&#xff08;递减计数器&#xff09…

STM32学习和实践笔记(7):改变系统时钟的实验

今天完成了这个改变系统时钟的实验。实验是成功的。 #include "stm32f10x.h" #include "led.h"void delay(u32 i) {while(i--); }void RCC_HSE_Config(u32 div,u32 pllm) //自定义系统时间&#xff08;可以修改时钟&#xff09; {RCC_DeInit(); //将外设R…

STM32F407+FreeRTOS+LWIP UDP组播

开发环境介绍&#xff1a; MCU&#xff1a;STM32F407ZET6 网卡&#xff1a;LAN8720A LWIP版本&#xff1a;V1.1.0 FreeRTOS 版本&#xff1a;V10.2.1 LAN8720A硬件原理图&#xff1a; 硬件连接说明&#xff1a; MII_RX_CLK/RMII_REF_CLK ------>PA1 …

STM32+ESP8266水墨屏天气时钟:简易多级菜单(数组查表法)

项目背景 本次的水墨屏幕项目需要做一个多级菜单的显示&#xff0c;所以写出来一起学习&#xff0c;本篇文章不单单适合于水墨屏&#xff0c;像0.96OLED屏幕也适用&#xff0c;区别就是修改显示函数。 设计思路 多级菜单的实现&#xff0c;一般有两种实现的方法 1.通过双向…

从小白-入门-进阶-高阶,四个阶段详细讲解单片机学习路线!

大家好&#xff0c;今天给大家介绍从小白-入门-进阶-高阶&#xff0c;四个阶段详细讲解单片机学习路线&#xff01;&#xff0c;文章末尾附有分享大家一个资料包&#xff0c;差不多150多G。里面学习内容、面经、项目都比较新也比较全&#xff01;可进群免费领取。 单片机学习路…

【STM32】存储器和位带映射(bit band mapping)

文章目录 0 前言1 关于地址和存储器2 STM32内部存储器3 位带映射&#xff08;bit band mapping&#xff09;4 扩展&#xff1a;IAP 0 前言 最近在研究stm32标准库&#xff0c;对使用宏定义实现位操作的函数非常感兴趣&#xff0c;简单的一句PAout(1) 0;就能实现某个引脚电平的…

STM3定时器输入捕获、超声波测距

1、超声波测距模块介绍 1、HC-SR04共四个引脚&#xff1a;VCC、GND、Trig、Echo&#xff0c;如下图 2、使用 1、通过gpio口向Trig引脚发送一个脉冲信号。 2、HC-SR04接收到脉冲信号后&#xff0c;就会向外发送一段超声波&#xff0c;模块会将echo拉高。 …

撸代码时,有哪些习惯一定要坚持?

我从2011年开始做单片机开发&#xff0c;一直保持以下撸代码的习惯。 1.做好代码版本管理 有些人&#xff0c;喜欢一个程序干到底&#xff0c;直到实现全部的产品功能&#xff0c;我以前做51单片机的项目就是这样。 如果功能比较多的产品&#xff0c;我不建议这样做&#xff0…

STM32单片机智能电表交流电压电流程序设计(电流 电压互感器TV1005M+TA1005M)

资料下载地址&#xff1a;STM32单片机智能电表交流电压电流程序设计(电流 电压互感器TV1005MTA1005M) 1、摘要 5、基于STM32F103单片机智能电表交流电压电流设计 本设计由STM32单片机核心板电路交流电压电流检测模块电路WIFI模块电路指示灯电路组成。 1、通过电压互感器TV100…

蓝桥杯嵌入式(G431)备赛笔记——初始化cubeMX

新建工程 启用外部晶振&#xff0c;配置时钟 配置时钟树&#xff0c;主频这里设为80M 下载程序引脚配置&#xff0c;SW 生成工程&#xff0c;IDE选择MDK-ARM和V5&#xff0c;且如果工程路径不是纯英文则会缺少启动文件 勾选上&#xff0c;每个外设生成单独的.c文件 下载程序设置…

HAL STM32 定时器PWM DMA输出方式

HAL STM32 定时器PWM DMA输出方式 &#x1f9e8;遗留问题&#xff1a;当配置RCR重复计数器&#xff0c;配置为2时&#xff0c;在定义了3组PWM参数情况下&#xff0c;只能输出第二组参数的PWM波形。&#xff08;HAL_TIM_PWM_Start_DMA(&htim1, TIM_CHANNEL_1, aCCValue_Buff…

Stm32 HAL库 访问内部flash空间

Stm32 HAL库 访问内部flash空间 代码的部分串口配置申明文件main函数 在一些时候&#xff0c;需要存储一些数据&#xff0c;但是又不想接外部的flash&#xff0c;那我们可以知道&#xff0c;其实还有内部的flash可以使用&#xff0c; 需要注意的是内部flash&#xff0c;读写次数…

STM32工程 如何设置堆栈大小(Heap和Stack)

方法1&#xff1a;通过CubeMX、CubeIDE 配置 方法2&#xff1a;直接在启动文件中修改 &#xff08;适合所有Keil工程&#xff09; Heap、Stack的值大小&#xff0c;不管使用哪种开发环境&#xff0c;它俩都肯定在启动文件中。 可以通过CtrlF&#xff0c;搜索: Heap&#xff0…

stm32GPO的相关操作

GPIO的使用 1.GPIO八种工作模式1.1 上拉输入1.2 下拉输入1.3 浮空输入1.4 模拟输入1.5 推挽输出1.6 开漏输出1.7 复用推挽输出1.8 复用开漏输出 2.相关寄存器2.1 寄存器配置IO 3.相关库函数 1.GPIO八种工作模式 保护二极管的作用&#xff1a;用来保护IO&#xff0c;一般情况IO的…

STM32存储左右互搏 SDIO总线读写SD/MicroSD/TF卡

STM32存储左右互搏 SDIO总线读写SD/MicroSD/TF卡 SD/MicroSD/TF卡是基于FLASH的一种常见非易失存储单元&#xff0c;由接口协议电路和FLASH构成。市面上由不同尺寸和不同容量的卡&#xff0c;手机领域用的TF卡实际就是MicroSD卡&#xff0c;尺寸比SD卡小&#xff0c;而电路和协…

模数转换器 SIG7795 国产平替 AD7795,替代 AD7795

信格勒微电子的芯片产品已通过行业头部大厂导入验证&#xff0c;深受百万终端客户好评。 而且因为 fully compatible. 板子拿来&#xff0c;换个芯片&#xff0c; 性能更好 。MCU 不用改 c code。 SIG7794/SIG7795 4.17SPS to 890SPS ADC with PGA and Reference Compatib…

【stm32】I2C通信协议

【stm32】I2C通信协议 概念及原理 如果我们想要读写寄存器来控制硬件电路&#xff0c;就至少需要定义两个字节数据 一个字节是我们要读写哪个寄存器&#xff0c;也就是指定寄存器的地址 另一个字节就是这个地址下存储寄存器的内容 写入内容就是控制电路&#xff0c;读出内容就…

嵌入式|蓝桥杯STM32G431(HAL库开发)——CT117E学习笔记16:蓝桥杯编程手册

一、要背的函数汇总&#xff08;以例子形式&#xff09; 1.GPIO相关 输出&#xff1a;HAL_GPIO_WritePin(GPIOC,GPIO_PIN_8 | GPIO_PIN_9,GPIO_PIN_SET) 输入&#xff1a;HAL_GPIO_ReadPin(GPIOB, GPIO_PIN_0) 翻转&#xff1a;HAL_GPIO_TogglePin(GPIOC,0xFF) 2.LCD相关 …

雅特力AT32引脚复用记录

用作USB的话&#xff0c;PA9不能再用作其他功能了 被复用了。这个关联信号是OTG_FS_VBUS。stm32是能这么复用的。

STM32-模数转化器

ADC(Analog-to-Digital Converter) 指模数转换器。是指将连续变化的模拟信号转换 为离散的数字信号的器件。 ADC相关参数说明&#xff1a; 分辨率&#xff1a; 分辨率以二进制&#xff08;或十进制&#xff09;数的位数来表示&#xff0c;一般有 8 位、10 位、12 位、16 位…

STM32系列之中断

硬件中断是计算机系统中一种重要的事件机制&#xff0c;它是一种由硬件设备触发的特殊信号&#xff0c;用于通知CPU执行相应的中断服务程序。 当硬件设备需要CPU的处理时&#xff0c;会发出一个中断信号&#xff0c;这个信号会被中断控制器接收并传递给CPU。CPU收到中断信号后…

stm32f103c8t6学习笔记(学习B站up江科大自化协)-看门狗【WDG】

硬件部分 一、看门狗简介 看门狗-WDG&#xff08;watchdog&#xff09; 看门狗可以监控程序的运行状态&#xff0c;当程序因为设计漏洞、硬件故障、电磁干扰等原因&#xff0c;出现卡死或跑飞现象时&#xff0c;看门狗能及时复位程序&#xff0c;避免程序陷入长时间的罢工状态…

【单片机毕业设计】【mcuclub-hj-014】基于单片机的工地降尘的设计

最近设计了一个项目基于单片机的工地降尘系统&#xff0c;与大家分享一下&#xff1a; 一、基本介绍 项目名&#xff1a;工地降尘 项目编号&#xff1a;mcuclub-hj-014 单片机类型&#xff1a;STC89C52 具体功能&#xff1a; 1、通过PM2.5传感器检测粉尘浓度 2、通过按键设置…

Linux第85步_EXTI外部中断

1、在stm32mp157d-atk.dts文件中添加“led0”和“key0”节点 打开虚拟机上“VSCode”&#xff0c;点击“文件”&#xff0c;点击“打开文件夹”&#xff0c;点击“zgq”&#xff0c;点击“linux”&#xff0c;点击“atk-mp1”&#xff0c;点击“linux”&#xff0c;点击“my_l…

物联网实战--入门篇之(一)物联网概述

目录 一、前言 二、知识梳理 三、项目体验 四、项目分解 一、前言 近几年很多学校开设了物联网专业&#xff0c;但是确却地讲&#xff0c;物联网属于一个领域&#xff0c;包含了很多的专业或者说技能树&#xff0c;例如计算机、电子设计、传感器、单片机、网…

【STM32F103】1-WireDS18B20(含ESP8266代码)

1-Wire 单总线 1-Wire是一种串行通信总线协议&#xff0c;由美国芯片制造商Dallas Semiconductor&#xff08;现为Maxim Integrated&#xff09;开发。这种协议主要用于连接和通信各种设备&#xff0c;并在多个领域得到了广泛应用&#xff0c;如温度传感器、电池管理、智能卡等…

FreeRtos作业1

1.总结keil5下载代码和编译代码需要注意的事项 代码写完之后的操作流程 2.总结STM32Cubemx的使用方法和需要注意的事项 选择芯片型号 生成代码 3.总结STM32Cubemx配置GPIO的方法 4、使用定时器2让黄灯闪烁 /* USER CODE END Header */ /* Includes --------------------------…

蓝桥杯物联网竞赛_STM32L071_13_定时器

CubeMx配置LPTIM: counts internal clock events 计数内部时钟事件 prescaler 预分频器 updata end of period 更新期末 kil5配置&#xff1a; 中断回调函数完善一下&#xff1a; void HAL_LPTIM_AutoReloadMatchCallback(LPTIM_HandleTypeDef *hlptim){if(cnt ! 10) cnt…

嵌入式|蓝桥杯STM32G431(HAL库开发)——CT117E学习笔记14:PWM捕获

系列文章目录 嵌入式|蓝桥杯STM32G431&#xff08;HAL库开发&#xff09;——CT117E学习笔记01&#xff1a;赛事介绍与硬件平台 嵌入式|蓝桥杯STM32G431&#xff08;HAL库开发&#xff09;——CT117E学习笔记02&#xff1a;开发环境安装 嵌入式|蓝桥杯STM32G431&#xff08;…

STM32-03基于HAL库(CubeMX+MDK+Proteus)输入检测案例(按键控制LED)

文章目录 一、功能需求分析二、Proteus绘制电路原理图三、STMCubeMX 配置引脚及模式&#xff0c;生成代码四、MDK打开生成项目&#xff0c;编写HAL库的按键检测代码五、运行仿真程序&#xff0c;调试代码 一、功能需求分析 搭建完成开发STM32开发环境之后&#xff0c;开始GPIO…

STM32 | 通用同步/异步串行接收/发送器USART带蓝牙(第六天原理解析)

STM32 第六天 一、 USART 1、USART概念 USART:(Universal Synchronous/Asynchronous Receiver/Transmitter)通用同步/异步串行接收/发送器 USART是一个全双工通用同步/异步串行收发模块,该接口是一个高度灵活的串行通信设备 处理器与外部设备通信的两种方式: u并行通信(…

STM32之HAL开发——DMA转运串口数据

DMA功能框图&#xff08;F1系列&#xff09; 如果外设要想通过 DMA 来传输数据&#xff0c;必须先给 DMA 控制器发送 DMA 请求&#xff0c; DMA 收到请求信号之后&#xff0c;控制器会给外设一个应答信号&#xff0c;当外设应答后且 DMA 控制器收到应答信号之后&#xff0c;就会…

STM32的IAP讲解

stm32系列芯片的种类和型号 汇编文件种类型号startup_stm32f10x_cl.s互联型的器件STM32F105xx,STM32F107xxstartup_stm32f10x_hd.s大容量STM32F101xx,STM32F102xx,STM32F103xxstartup_stm32f10x_hd_vl.s大容量STM32F100xxstartup_stm32f10x_ld.s小容量STM32F101xx,STM32F10…

STM32 适合人群

STM32 适合各种需要进行嵌入式系统开发的人群&#xff0c;具体如下&#xff1a; 嵌入式系统工程师&#xff1a;嵌入式系统工程师可以使用 STM32 进行系统设计、硬件和软件编程、测试和部署等工作。学生和研究人员&#xff1a;学生和研究人员可以使用 STM32 进行实验、学习和研…

【stm32】I2C通信外设

【stm32】I2C通信外设 概念部分 如果简单应用&#xff0c;选择软件I2C。如果对性能指标要求比较高 选择硬件I2C 有硬件电路自动反转引脚电平&#xff0c;软件只需要写入控制寄存器CR和数据寄存器DR 为了实时监控时序的状态&#xff0c;还要读取状态寄存器SR 写入控制寄存器CR…

GD32F470_光敏电阻光照传感器模块移植手册

2.3 光敏电阻光照传感器 光敏电阻是用硫化隔或硒化隔等半导体材料制成的特殊电阻器&#xff0c;其工作原理是基于内光电效应。随着光照强度的升高&#xff0c;电阻值迅速降低&#xff0c;由于光照产生的载流子都参与导电&#xff0c;在外加电场的作用下作漂移运动&#xff0c;电…

01VScode开发stm32环境搭建

title: VScode开发stm32环境搭建 tags: STM32vscode 1.准备工作 1.下载并安装VSCODE 在百度上搜索vscode记住一定要是官方的 不然你自己就是在给自己下毒2345全来了 打红圈一定要有不然就是在垃圾网站上下的 VSCode下载链接 选一个适合你的      安装正常流程走就行不再…

STM32的IO模拟串口

串口的时序就不再多讲了&#xff0c;主要是分享一个比自己写的IO模拟串口的实例 申明&#xff1a; 这个波特率为115200 分频系数为8 自动重载值为68 约等于8.7微秒 使用其他波特率或者IO口&#xff0c;只需要修改.h文件中的对应参数即可 头文件 #ifndef IO_UART_H #def…

STM32 uC/OS-III

What is uC/OS-III? C/OS-III 的发音为“Micro C O S Three”&#xff0c;这意味着 C/OS-III 是基于 C 语言编写的第三代 小型操作系统&#xff0c;当然这里所说的第三代是相对于 C/OS 的前两个版本 C/OS 和 C/OS-II 而言 的&#xff0c;后面也会介绍这三个版本的差别。C/OS/…

嵌入式|蓝桥杯STM32G431(HAL库开发)——CT117E学习笔记15:PWM输出

系列文章目录 嵌入式|蓝桥杯STM32G431&#xff08;HAL库开发&#xff09;——CT117E学习笔记01&#xff1a;赛事介绍与硬件平台 嵌入式|蓝桥杯STM32G431&#xff08;HAL库开发&#xff09;——CT117E学习笔记02&#xff1a;开发环境安装 嵌入式|蓝桥杯STM32G431&#xff08;…

STM32 | PWM脉冲宽度调制(第五天呼吸灯源码解析)

STM32 | PWM脉冲宽度调制(第五天)PWM 技术在以下其他机器学习领域和应用中也可以发挥作用: 自然语言处理 (NLP):调节文本生成模型(例如 GPT-3)的输出长度和多样性。 强化学习:控制代理在环境中采取行动的频率和持续时间。 时间序列预测:调节模型预测未来事件的时间间隔…

基于stm32的f4新建工程

目录 基于stm32的f4新建工程前言实验目的原理图部分搭建工程配置SWD调试配置外部晶振配置时钟工程选项卡&#xff1a; 进行点灯操作本文中使用的测试工程 基于stm32的f4新建工程 本文目标&#xff1a;基于stm32的基础实验 按照本文的描述&#xff0c;应该可以跑通实验并举一反…

STM32 DWT数据观察触发器作为延时函数的使用

STM32 DWT数据观察触发器作为延时函数的使用 &#x1f4d1;DWT(Data Watchpoint and Trace数据观察触发器&#xff09;描述 &#x1f4dd;DWT是属于处理器内核单元中的调试组件之一&#xff0c;由四个比较器组成。它们可配置为&#xff1a;硬件监视点或对ETM或PC采样器或数据地…

详解Linux进程

进程 1.什么是进程2.创建进程2.1进程标识符2.2初时fork&#xff08;&#xff09;函数&#xff0c;创建进程 3.进程状态3.1进程状态的描述3.2Linux中具体的进程状态 4 僵尸状态5 孤儿进程6进程优先级 1.什么是进程 进程在我们的电脑和手机上是无处不在的。例如我们windows系统下…

江协STM32:点亮第一个LED灯和流水灯

很多单片机都是高电平弱驱动&#xff0c;低电平强驱动&#xff0c;所以这里是低电平有效 点亮一个LED灯 操作STM32的GPIO需要三个操作&#xff1a; 第一个使用RCC开启GPIO的时钟 第二步使用GPIO_Init函数初始化GPIO 第三步使用输出或输入函数控制GPIO 1.使用RCC开启GPIO的时…

STM32学习和实践笔记(4): 分析和理解GPIO_InitTypeDef GPIO_InitStructure (a)

深入分析及学习一下上面这一段代码的构成与含义。 首先&#xff0c;这个GPIO_InitTypeDef GPIO_InitStructure;其实与int a 是完全类似的语法格式以及含义。 GPIO_InitStructure就相当于a这样一个变量。不过从这个变量的名字可以知道&#xff0c;这是一个用于GPIO初始化的结构…

嵌入式|蓝桥杯STM32G431(HAL库开发)——CT117E学习笔记12:DAC数模转换

系列文章目录 嵌入式|蓝桥杯STM32G431&#xff08;HAL库开发&#xff09;——CT117E学习笔记01&#xff1a;赛事介绍与硬件平台 嵌入式|蓝桥杯STM32G431&#xff08;HAL库开发&#xff09;——CT117E学习笔记02&#xff1a;开发环境安装 嵌入式|蓝桥杯STM32G431&#xff08;…

2024蓝桥杯嵌入式复习笔记--基础知识-模块使用-近四届题目代码实现

2024蓝桥杯嵌入式复习笔记–基础知识-模块使用-近四届题目代码实现 源码实现以及代码思路 芯片基础知识(比赛的话不需要太了解) 外设(需要重点关注) GPIO 输入输出模式 复用 这一个表是数据手册里面的4.11 引脚的复用, 比如使用PA9作为USART_TX的时候, 把他配置为AF7 这一个是…

STM32单片机项目实例:基于TouchGFX的智能手表设计(3)嵌入式程序任务调度的设计

STM32单片机项目实例&#xff1a;基于TouchGFX的智能手表设计&#xff08;3&#xff09;嵌入式程序任务调度的设计 目录 一、嵌入式程序设计 1.1轮询 1.2 前后台&#xff08;中断轮询&#xff09; 1.3 事件驱动与消息 1.3.1 事件驱动的概念 1.4 定时器触发事件驱动型的任…

FreeRTOS学习第10篇--队列使用示例

FreeRTOS学习第10篇–队列使用示例 本文目标&#xff1a;FreeRTOS学习第10篇–队列使用示例 按照本文的描述&#xff0c;可以进行简单的使用队列。 本文实验条件&#xff1a;拥有C语言基础&#xff0c;装有编译和集成的开发环境&#xff0c;比如&#xff1a;Keil uVision5 …

STM32 can通信部分函数注释

相关截图: CAN模式初始化函数:u8 CAN1_Mode_Init(u8 tsjw,u8 tbs2,u8 tbs1,u16 brp,u8 mode) //CAN初始化 //tsjw:重新同步跳跃时间单元.范围:CAN_SJW_1tq~ CAN_SJW_4tq //tbs2:时间段2的时间单元. 范围:CAN_BS2_1tq~CAN_BS2_8tq; //tbs1:时间段1的时间单元. 范围:CAN_BS…

物联网实战--入门篇之(六)嵌入式-WIFI驱动(ESP8266)

目录 一、WIFI简介 二、基础网络知识 三、思路讲解 四、代码分析 4.1 状态机制 4.2 客户端连接 4.3 应用数据接收处理 4.4 数据发送 4.5 主函数调用 4.6 网络连接ID分配 五、总结 一、WIFI简介 WIFI在我们生活中太常见了&#xff0c;手机电脑都可以用WiFi连接路由器进行上…

STM32使用USART发送数据包指令点亮板载LED灯

电路连接&#xff1a; 连接显示屏模块&#xff0c;显示屏的SCL在B10&#xff0c;SDA在B11。 程序目的&#xff1a; 发送LED_ON指令打开板载LED灯&#xff0c;发送LED_OFF关闭板载LED灯&#xff0c;与上一个博客不同&#xff0c;这个实际上是实现串口收发文本数据包。 …

STM32八种I/O口模式

STM32八种I/O口模式 文章目录 STM32八种I/O口模式前言一、stm32八种I/O类型二、区别1.模拟输入2.浮空输入3.上拉输入4.下拉输入5.推挽输出6.开漏输出7.复用推挽输出8.复用推挽输出 总结 前言 作为两年嵌入式软件攻城狮&#xff0c;还没仔细去理解过STM32的GPIO的八种使用模式&…

STM32和GD32内部时钟与外部时钟讲解

STM32F103为例: 1. 当 HSI 被用作 PLL 时钟输入时,可以实现的最大系统时钟频率为 64 MHz。 2. 要使 USB 功能可用,必须同时启用 HSE 和 PLL,并使 USBCLK 运行在 48 MHz。 3. 要实现 ADC 转换时间为 1 s,APB2 必须为 14 MHz、28 MHz 或 56 MHz。 ①. HSE = 高速外部时钟信号…

57、FreeRTOS/串口通信和DMA ADC PWM相关20240401

一、使用PWMADC光敏电阻完成光控灯的实验。&#xff08;根据测得的光敏电阻大小&#xff0c;控制灯的亮度&#xff09; 代码&#xff1a; /* USER CODE BEGIN 2 */HAL_TIM_PWM_Start(&htim3,TIM_CHANNEL_3);//打开定时器的PWM通道3HAL_TIM_PWM_Start(&htim3,TIM_CHANN…

STM32之HAL开发——串口配置(CubeMX)

串口引脚初始化&#xff08;CubeMX&#xff09; 选择RCC时钟来源 选择时钟频率&#xff0c;配置为最高频率72MHZ 将单片机调试模式打开 SW模式 选择窗口一配置为异步通信模式 点击IO口设置页面&#xff0c;可以看到当前使用的串口一的引脚。如果想使用复用功能&#xff0c;只需…

KIl5:Stm32L071下载出现flash download faild “cortex-m0+“的解决方法

首先看看有没有芯片&#xff0c;没有芯片下载一下 下载并在device选择对应的芯片 选择调试器 选择flash

LwIP TCP/IP

LWIP 架构 LwIP 符合 TCP/IP 模型架构&#xff0c;规定了数据的格式、传输、路由和接收&#xff0c;以实现端到端的通信。 此模型包括四个抽象层&#xff0c;用于根据涉及的网络范围&#xff0c;对所有相关协议排序&#xff08;参见图 2&#xff09;。这几层从低到高依次为&am…

MPU6050使用指南(STM32)

1、MPU6050介绍 MPU6050是由三个陀螺仪和三个加速度传感器组成的6轴运动处理组件&#xff0c;是一款六轴&#xff08;三轴加速度三轴角速度&#xff08;陀螺仪&#xff09;&#xff09;传感器。 内部主要结构 陀螺仪、加速度计、数字运动处理器DMP&#xff08;Digital Motion…

MATLAB /Simulink 快速开发STM32 --学习收获

MATLAB的Simulink 的确可以下载代码&#xff0c;运行。目前我的硬件不知道哪里除了问题&#xff0c;不能监控。 win11st cubemxkeilmatlab2023b&#xff0c;stm32的固件包是F4的。使用了某石电子的f4电机开发板。 cube配置了led灯&#xff0c;gpio使用LL驱动&#xff0c;不是…

STM32学习笔记(9_2)- USART串口外设

无人问津也好&#xff0c;技不如人也罢&#xff0c;都应静下心来&#xff0c;去做该做的事。 最近在学STM32&#xff0c;所以也开贴记录一下主要内容&#xff0c;省的过目即忘。视频教程为江科大&#xff08;改名江协科技&#xff09;&#xff0c;网站jiangxiekeji.com 在STM3…

STM32(2):STM32F1标准库(Std V3.5.0)构成

STM32&#xff08;2&#xff09;&#xff1a;STM32F1标准库&#xff08;Std V3.5.0&#xff09;构成 前提摘要 个人说明&#xff1a; 限于时间紧迫以及作者水平有限&#xff0c;本文错误、疏漏之处恐不在少数&#xff0c;恳请读者批评指正。意见请留言或者发送邮件至&#xff…

【stm32】DAC输出三角波锯齿波

【stm32】DAC输出三角波锯齿波及任意波形 导入DAC.ioc&#xff08;见上节DAC学习笔记&#xff09; DAC输出三角波 CubeMX图形化配置 DAC配置 实现0.1ms定时周期 时钟树配置 程序编写 /* USER CODE BEGIN Includes */ #include "lcd.h" /* USER CODE END Inc…

GPIO的基础知识

GPIO的基础知识 STM32H7 的GPIO基本配置不使用的引脚设置为模拟模式IO补偿单元关闭补偿单元开启补偿单元 注入电流大小和特性GPIO 的8种模式四种输入模式两种输出模式推挽输出开漏输出 复用推挽和开漏 GPIO拉灌电流负载能力GPIO兼容CMOS和TTL 首先对于GPIO我们需要注意以下几点…

基于STM32的自动重装载auto-reload preload以及影子寄存器

目录 写在前面 正文 总结 写在前面 在使用cubeMX开发stm32&#xff0c;会经常用到定时器&#xff0c;并通过定时器产生中断计数来定期地执行某些任务。在配置时会遇到auto-reload preload 。这让熟悉51开发时解触到的定时器产生中断后自动重装载计数值让其产生下一次中断名字…

STM32G070RBT6基于STM32CubeMX创建定时器中断控制LED闪烁

STM32G070RBT6基于STM32CubeMX创建定时器中断控制LED闪烁&#x1f4cd;相关篇《【硬件开源电路】STM32G070RBT6开发板》&#x1f33a;配置内容演示&#xff1a; &#x1f4da;功能介绍 &#x1f4d1;通过STM32CubeMX配置定时器1和定时器3分别作为两个led的定时闹钟。这里作为…

STM32F4系列单片机的定时器讲解和计数器,PWM信号输出,PWM信号捕获的实现对电机进行控制和监测功能

1.定时器功能介绍&#xff1a; 在控制领域里面&#xff0c;我们可以用信号输出定时器&#xff0c;进行PWM的控制&#xff0c;从而达到控制电机的目的&#xff0c;通过输入捕获功能可以用来接收外部的数字信号&#xff0c;用于测量脉冲宽度、频率或周期等。在这里给大家介绍下&…

Nuttx学习笔记(二)————在STM32上部署Nuttx系统

目录 一、平台配置 二、在ubuntu下使用串口来烧录至目标文件至STM32F07 &#xff08;一&#xff09;ubuntu下stm32flash工具下载 &#xff08;二&#xff09;Ubuntu20.04安装stm32开发环境 &#xff08;三&#xff09;将nuttx.bin文件烧录进stm32 三、ubuntu下使用OpenOCD…

STM32实现软件SPI对W25Q64内存芯片实现读写操作

先看看本次实验的成果吧&#xff1a; 这么简单的一个程序&#xff0c;我学习了一个星期左右&#xff0c;终于把所有的关节都打通了。所有代码都能什么都不看背着敲出来了。为了使自己的记忆更为清晰&#xff0c;特意总结了一个思维导图&#xff0c;感觉自己即便是日后忘记了看一…

SPI123

SPI&#xff0c;&#xff08;serial peripheral interface&#xff09;&#xff0c;字面翻译是串行外设接口&#xff0c;是一种通用的数据总线&#xff0c;适用于主控和外挂芯片之间的通信&#xff0c;与IIC应用领域非常相似。 IIC无论是在硬件电路还是在软件时序设计的都是较为…

【uC/OS-III篇】uC/OS-III 创建第一个任务(For STM32)

uC/OS-III 创建第一个任务&#xff08;For STM32&#xff09; 日期&#xff1a;2024-3-30 23:55&#xff0c;结尾总结了今天学习的一些小收获 本博客对应的项目源码工程 源码项目工程 1. 首先定义错误码变量 // 用于使用uC/OS函数时返回错误码 OS_ERR err; 2. 定义任务控制…

【STM32 HAL】串口中断控制相关

STM32 HAL库 串口中断控制引言串口中断类型中断管理函数使能中断 __HAL_UART_ENABLE_IT(__HANDLE__, __INTERRUPT__)关闭中断 __HAL_UART_DISABLE_IT(__HANDLE__, __INTERRUPT__)获取中断状态 __HAL_UART_GET_IT_SOURCE(__HANDLE__, __IT__)中断标志位种类中断标志位管理查询中…

STM32G系 编程连接不上目标板,也有可能是软件不兼容。

由于一直用的老版本STM32 ST-LINK Utility 4.20 &#xff0c;找遍了所有问题&#xff0c;SWD就是连不上目标板。 电源脚 VDDA 地线&#xff0c;SWD的四条线&#xff0c;还是不行&#xff0c;浪费了一天&#xff0c;第二天才想起&#xff0c;是不是G系升级了 SWD协议。结果下载…

STM32入门——基本 GPIO 的输出控制

文章目录1 什么是 GPIO &#xff1f;1.1 GPIO 简介1.2 GPIO 硬件解析1.2.1 保护二极管1.2.2 P-MOS、N-MOS 管1.2.3 数据输入输出寄存器1.2.4 复用功能输出1.2.5 模拟输入输出1.3 GPIO 的工作模式1.3.1 输入模式 (模拟/浮空/上拉/下拉)1.3.2 输出模式 (推挽/开漏)1.3.3 复用功能…

STM32 CAN过滤器标识符学习笔记

最近看了下STM32 CAN 通讯其中标示符过滤器设置大有讲究。特别是你要使用ST库函数时&#xff0c;当过滤器工作在屏蔽模式下&#xff0c;并且你把屏蔽位设了1也就是标示符对应位必须全部匹配才能通过&#xff0c;这是由其要小心。 举个例子吧&#xff0c;过滤器长度为32位&…

割草机器人芯片选型及各厂家产品对比

芯片选型 芯片选型对比如下表&#xff1a; 公司型号架构CPU主频SRAM闪存I2CSPIUSARTUARTUSB功耗备注意法半导体&#xff08;ST&#xff09;STM32F103ARM Cortex™-M332位72MHz20KB64KB/128KB2路2路3路无2.0 full speedSTM32F407ARM Cortex™-M432位168MHz1924KB512KB/1MB3路3…

基于单片机MC9S12XS128的两轮自平衡小车设计

目 录 1.绪论 1 1.1研究背景与意义 1 1.2两轮自平衡车的关键技术 2 1.2.1系统设计 2 1.2.2数学建模 2 1.2.3姿态检测系统 2 1.2.4控制算法 3 1.3本文主要研究目标与内容 3 1.4论文章节安排 3 2.系统原理分析 5 2.1控制系统要求分析 5 2.2平衡控制原理分析 5 2.3自平衡小车数学…

【FLASH存储器系列八】ONFI数据接口详述之一

目录 1.1 数据接口类型概览 1.2 信号功能分配 1.3 接口模式切换 1.1 数据接口类型概览 ONFI目前支持5中不同的数据接口类型&#xff1a;SDR&#xff0c;NV-DDR&#xff0c;NV-DDR2、NV-DDR3和NV-LPDDR4。SDR是传统的NAND接口&#xff0c;使用RE_n锁存读数据&#xff0c;WE_n锁…

GPIO和Pinctrl子系统的使用

GPIO和Pinctrl子系统的使用 问题引出&#xff1a; 在实际开发中我们并不会直接操作寄存器去写驱动。太低效了&#xff0c;这样的话我们就变成了“寄存器工程师了”&#xff0c;即使是做单片机的都不执着于裸写寄存器。 Linux下针对引脚有2个重要的子系统&#xff1a;GPIO,Pinc…

(46)STM32——FATFS文件系统实验

目录 学习目标 运行结果 文件系统 常用系统 FATFS 特点 结构图 移植步骤 disk_initialize disk_status disk_read disk_write disk_ioctl get_fattime 代码 总结 学习目标 我们要来介绍的是FATFS文件系统&#xff0c;这是一个为嵌入式设计的文件系统&#xff0c…

基于STM32F1-C8T6无人机(二)——舵机/电调/空心杯电机/飞控/机架/subs接收机/充电器和电池(给出链接和思考)

文章目录一、关于电机的选择二、关于飞控三、看懂原理图的接线四、电机和桨叶五、机架六、sbus接收机的选择七、转向问题八、充电器和电池的选择主要参考STM32F103C8T6开发板GY521加速度计模块制作有刷四轴飞控&#xff0c;改造空心杯小四轴 b站up主&#xff1a;北郊强哥 一、关…

嵌入式开发--STM32H750VBT6开发中,新版本CubeMX的时钟问题,不能设置到最高速度480MHZ

嵌入式开发–STM32H750VBT6开发中&#xff0c;新版本CubeMX的时钟问题&#xff0c;不能设置到最高速度480MHZ 问题描述 之前开发的项目&#xff0c;开发环境是CubeMX6.6.1&#xff0c;H7系列的支持包版本是1.10.0。跑得没问题&#xff0c;最近需要对项目做修改&#xff0c;同…

教你STM32做USB鼠标、键盘

使用CubeMX软件傻瓜式的配置&#xff0c;一键生成USB的HID驱动。 一、USB鼠标 1、CubeMX配置 ①、选择相对应的芯片 ②、配置时钟和Debug和debug ③、配置USB ④、生成代码 最好把这个也勾上&#xff0c;勾上以后每个外设配置不再都给你塞到main.c里&#xff0c;而是建一个.c…

GUI Guider与lvgl联合仿真(结合stm32实验进行演示,含触摸屏实验)

GUI Guider与lvgl联合仿真文章目录[toc]1 guiguider文件安装与下载2 gui_ guider模拟器相关操作2.1 guiguider界面介绍2.2 guiguider文件夹介绍3 实验1&#xff1a;移植一个静态界面4 实验2&#xff1a;移植一个有交互的计数器实验4.1 触屏程序移植4.2 移植guiguider的程序前言…

智能小车 - DRV8833电机驱动模块

一.硬件 基本兼容TB662模块管脚&#xff0c;可驱动1.5A以下的直流电机和4线步进电机。 芯片中共有两个全H桥。因此最多可以同时驱动两个直流电机或一个步进电机。 管脚说明&#xff1a; ANI1&#xff1a;AO1的逻辑输入控制端口&#xff0c;电平0-5V。 AIN2&#xff1a;AO2的逻辑…

将STM32 内部Flash虚拟成优盘,进行IAP升级

书接上回&#xff0c;上篇文章已经成功的将 STM32 内部FLASH虚拟成优盘进行文件存储了。 【将 STM32 内部Flash虚拟成优盘】https://blog.csdn.net/qq_44810226/article/details/127508789 然后我们开始固件升级流程&#xff1a; 从上图可以看出&#xff0c;固件存储的位置是不…

【FLASH存储器系列十】ONFI数据接口的时序参数与时序图

目录 1.1 时序参数 1.2 时序图详解 1.2.1命令锁存时序 1.2.2地址锁存时序 1.2.3数据输入时序 1.2.4数据输出时序 1.2.5数据输出时序&#xff08;EDO&#xff09; 1.2.6读状态时序 1.1 时序参数 ONFI协议中明确规定了时序参数的范围&#xff0c;如果不满足要求的最小和最…

STM32微控制 -STM32命名规则-STM32寄存器缩写列表-STM32存储器和总线架构

STM32使用说明第一篇【1】STM32微控制器【2】STM32命名规则【3】STM32寄存器缩写列表【4】STM32存储器和总线架构【1】STM32微控制器 STM32是一个微控制器产品系列的总称&#xff0c;目前这个系列中已经包含了多个子系列&#xff0c;分别是&#xff1a; 【1】STM32小容量产品 【…

【 STM32Fxx串口问题-发送时间-发送字节拉长-每一位与下一位也拉长->>记录问题以及解决方式-复盘】

【 STM32Fxx串口问题-发送时间-发送字节拉长-每一位与下一位也拉长-&#xff1e;&#xff1e;记录问题以及解决方式】前言环境问题描述&#xff08;1&#xff09;测试uart5时&#xff1a;&#xff08;2&#xff09;测试uart1时&#xff1a;原因点解决方式细节点总结前言 在做项…

STM32存储器组织-STM32存储器映像-嵌入式SRAM-STM32位段-嵌入式闪存-STM32启动配置

STM使用说明第二篇【1】STM32存储器组织【2】STM32存储器映像【3】嵌入式SRAM【4】STM32位段【5】嵌入式闪存【6】STM32启动配置【1】STM32存储器组织 程序存储器、数据存储器、寄存器和输入输出端口被组织在同一个4GB的线性地址空间内。 数据字节以小端格式存放在存储器中。一…

STM32嵌入式工程师自我修养

STM32嵌入式工程师自我修养一、STM32必备技能二、程序员必须熟知三、学习STM32自备资料和硬件一、STM32必备技能 1、熟悉 C 语言编程&#xff0c;熟练 STM32CUBEMX,Keil 开发环境。 2、熟悉基于STM32 MCU开发&#xff0c;掌GPIO,TIME,PWM,ADC等外设开发。 3、熟悉USART,IIC,SP…

使用Eclipse搭建STM32嵌入式开发环境

1. Eclipse 软件和相关工具的安装 使用 Eclipse 开发 STM32 等嵌入式软件项目时&#xff0c;需要安装的软件或者工具有&#xff1a; Eclipse 软件本身&#xff0c;eclipse-inst-jre-win64.exe交叉编译工具链&#xff0c;gcc-arm-none-eabi-10.3-2021.10-win32make 构建工具&a…

单片机只会调库和复制别人的代码是什么水平?

单片机只会调库和复制别人的代码是什么水平&#xff1f;前言什么是调库&#xff1f;如何不调库点亮一个LED调库与不调库的区别为什么要操作寄存器结语前言 相信对于学习过单片机的同学对于调库这个操作都不陌生&#xff0c;大家都是从调别人的库阶段过来的&#xff0c;今天看到…

ASCII码与字符对照表(附转换代码)

目录 ASCII基本字符 ASCII控制字符 ASCII可显示字符 ASCII扩展字符 转换代码 ASCII 码使用指定的 7 位或 8 位二进制数组合来表示 128 或 256 种可能的字符。标准 ASCII 码也叫基础ASCII码&#xff0c;使用 7 位二进制数来表示所有的大写和小写字母、数字 0 到 9、标点符号…

《嵌入式 - 深入剖析STM32》STM32 启动流程详解(GCC)

开发环境&#xff1a; 处理器&#xff1a;STM32F103 GCC&#xff1a;10.3.1 对于我们常用的桌面操作系统而言&#xff0c;我们在开发应用时&#xff0c;并不关心系统的初始化&#xff0c;绝大多数应用程序是在操作系统运行后才开始运行的&#xff0c;操作系统已经提供了一个合…

嵌入式开发--STM32硬件SPI驱动74HC595

本篇是硬件SPI口驱动74HC595。 IO口模拟时序软件驱动74HC595见这一篇文章&#xff1a;嵌入式开发–IO口扩展–74HC595 硬件连接如下&#xff1a; 只用了一个74HC595&#xff0c;如果需要多个74HC595级联&#xff0c;参考前面的链接&#xff0c;里面有电路&#xff0c;不需要额…

逻辑分析仪解析SPI数据

工具为梦源逻辑分析仪&#xff0c;本次测试的是ST7789v屏幕驱动 接线方面一共需要三根线&#xff08;MOSI&#xff0c;SCL&#xff0c;GND&#xff09; SCL PA5 MOSI PA7 DSView上位机配置 通道按照接线选择&#xff0c;这个一定要对应上 COPL CPOH按照SPI实际配置来&#x…

TFT-LCD屏幕触摸校准

TFT-LCD屏幕触摸校准 触摸屏幕分类 1.电阻屏 电阻屏的主要部分是一块与显示器表面配合非常好的电阻薄膜屏&#xff0c;这是一种多层的复合薄膜&#xff0c;由一层玻璃或有机玻璃作为基层&#xff0c;表面涂有一层秀明的导电层&#xff0c;上面再盖有一层外表硬化处理、光滑防…

STM32/51单片机实训day4——RFID数据读取|RC522|串口数据收发、可模拟RFID (三) 仿真

目录 1 任务指导 2 实验步骤 3 串口调试 4 USART配置 5 fputs函数重写 内 容&#xff1a;能够读取RFID卡S50的ID——编程实现串口数据收发 学 时&#xff1a;3学时 知识点&#xff1a;电路图设计、USART配置 重点&#xff1a; USART配置 难点&#xff1a;USART配置 时…

34、基于STM32的电子时钟设计(DS1302)时钟、秒表、倒计时(Proteus仿真+程序)

编号&#xff1a;34 基于STM32的电子时钟设计&#xff08;DS1302&#xff09;时钟、秒表、倒计时 功能描述&#xff1a; 本系统由STM32F103系统LCD1602液晶显示按键模块DS1302时钟模块声光报警模块组成。 1、使用LCD1602显示当前日期、时间、星期 2、具有闹钟、倒计时、计时功…

Notes02:常用位操作方法

Notes02常用位操作方法野火霸道开发板学习笔记信息说明位操作方法把某位清零把某连续几位清零对变量的连续几位赋值对变量的某位取反野火霸道开发板学习笔记 信息说明 开发板: 野火霸道V2芯片型号: STM32F103ZET6下载器型号: 野火fireDAP下载器(高速版)软件环境: Keil5(MDK-A…

LoRa无线远传水表方案ASR6500S/LLCC68

LoRa无线远传水表就是普通机械水表加上电子采集发讯模块而组成&#xff0c;电子模块完成信号采集、数据处理、存储并将数据通过通信线路上传给中继器、或手持式的抄表器。LoRa无线远传水表作为市面上比较火的智能水表&#xff1b;由主站通过传输媒体将多个户用仪表的数据集中抄…

STM32系列单片机GPIO的总结

【GPIO配置】 GPIO_Mode_AIN 模拟输入GPIO_Mode_IN_FLOATING 浮空输入GPIO_Mode_IPD 下拉输入GPIO_Mode_IPU 上拉输入GPIO_Mode_Out_OD 开漏输出GPIO_Mode_Out_PP 推挽输出GPIO_Mode_AF_OD 复用开漏输出GPIO_Mode_AF_PP 复用推挽输出 GPIO_Speed_10MHz 最高输出速率10MHz GPIO_…

36、基于STM32的电子闹钟(DS1302)

编号&#xff1a;36 基于STM32的电子闹钟&#xff08;DS1302&#xff09; 功能描述&#xff1a; 本设计由STM32单片机液晶1602按键DS1302时钟模块声光报警模组成。 1、采用STM32F103最小系统。 2、利用DS1302芯片提供时钟信号 3、液晶1602实时显示年月日、时分秒、星期等信息…

STM32——ADC模数转换器

文章目录一、ADC模数转化器ADC简介逐次逼近型ADCADC框图二、ADC基本结构三、触发转换控制四、输入通道五、规则组的四种转换模式单次转换&#xff0c;非扫描模式连续转换&#xff0c;非扫描模式单次转换&#xff0c;扫描模式连续转换&#xff0c;扫描模式六、数据对齐七、转换时…

TP4056国产替代DP4056 1A 锂离子电池充电器芯片

DP4056是一款单节锂离子电池恒流/恒压线性充电器,采用底部带散热片的SOP8封装以及简单的外部应用电路&#xff0c;非常适合便携式设备应用,适合USB电源和适配器电源工作,内部采用防倒充电路&#xff0c;不需要外部隔离二极管。热反馈可对充电电流进行自动调节&#xff0c;以便在…

yocto uboot编译分析(基于stm32mp1)

yocto uboot编译分析&#xff08;基于stm32mp1&#xff09; 环境介绍 软件环境&#xff1a; repo init -u https://github.com/STMicroelectronics/oe-manifest.git -b refs/tags/openstlinux-5.10-dunfell-mp1-21-11-17 相关代码路径&#xff1a; layers/meta-st/meta-st-st…

Simulink 自动代码生成电机控制:关于无传感控制开环启动控制的仿真和开发板运行

目录 开环启动原理 开环启动建模实现 开环启动仿真 代码生成和验证 总结 开环启动原理 永磁同步电机开环三步启动是比较传统也是比较常用的启动方式&#xff0c;典型的启动有&#xff1a; 对齐&#xff1a;也说是说的转子预定位&#xff0c;就是通过手动给定一个初始角度…

为什么STM32设置Flash地址0x08000000而不是0x00000000?STM32的启动过程

STM32F103ZE芯片存储空间的地址映射关系图。 在MDK编译程序设置ROM和RAM地址时候发现&#xff1a;    IROM1为片上程序存储器&#xff0c;即片上集成的Flash存储器&#xff0c;对该处理器Flash大小为512KB&#xff0c;即0x80000 地址区间为0x8000000~0x0807FFFF  IRAM1为片…

【DSP视频教程】第11期:插补算法,曲线拟合丝滑顺畅,统计函数和基础函数加速实现,汇集SIMD,饱和和MAC乘累加应用实战(2023-02-12)

视频教程汇总帖&#xff1a;https://www.armbbs.cn/forum.php?modviewthread&tid110519 DSP视频教程有段时间没有更新了。 当前DSP库从CMSIS软件包里面独立出来&#xff0c;并且更新非常频繁&#xff0c;所以本期视频教程优先给大家简单介绍下新版DSP&#xff0c; 然后为…

STM32单片机DS18B20测温程序源代码

OLED液晶屏电路接口DS18B20电路接口STM32单片机DS18B20测温程序源代码#include "sys.h"#define LED_RED PBout(12)#define LED_GREEN PBout(13)#define LED_YELLOW PBout(14)#define LED_BLUE PBout(15)#define DS18B20_IO_IN() {GPIOA->CRL&0XFFFFFFF0;GPIOA…

51单片机简易电阻电感电容RLC测量仪仿真设计

51单片机简易电阻电感电容RLC测量仪仿真( proteus仿真程序讲解视频&#xff09; 仿真图proteus7.8及以上 程序编译器&#xff1a;keil 4/keil 5 编程语言&#xff1a;C语言 设计编号&#xff1a;S0040 51单片机简易电阻电感电容RLC测量仪仿真51单片机最小系统的相关知识复位…

37、基于51单片机乒乓球比赛系统设计

摘要 乒乓球游戏电路是一个对输入信号、输入时机正确与否的8个LED表示乒乓球球台和乒乓球&#xff0c;用数码管模拟显示器&#xff0c;显示比赛局数比分和每局玩家得分的电路。电路并不复杂&#xff0c;整体分为两个模块&#xff1a;一&#xff0c;游戏主模块&#xff1b;二&a…

STM32——窗口看门狗

什么是窗口看门狗&#xff1f; 窗口看门狗用于监测单片机程序运行时效是否精准&#xff0c;主要检测软件异常&#xff0c;一般用于需要精准检测 程序运行时间的场合。 窗口看门狗的本质是一个能产生系统复位信号和提前唤醒中断的6位计数器。 产生复位条件&#xff1a; 当递减…

基于STM32的微型电子琴设计

基于STM32的微型电子琴设计报告中的图片和文字太多了&#xff0c;全部一个一个把搬过来太麻烦了,需要完整文本和代码自行q我963160156 第一章 总体设计1.1 系统功能1.2 主要技术性能指标第二章硬件设计2.1 整体硬件图2.2 按键模块2.3 扬声器模块2.4 显示模块2.5 主控模块第三章…

STM32开发(16)----CubeMX配置DMA

CubeMX配置DMA前言一、什么是DMA&#xff1f;二、实验过程1.CubeMX配置2.代码实现3.实验结果总结前言 本章介绍使用STM32CubeMX对DMA进行配置的方法&#xff0c;DMA的原理、概念和特点&#xff0c;配置各个步骤的功能&#xff0c;并通过串口DMA传输实验方式验证。 一、什么是…

【蓝桥杯嵌入式】LCD屏的原理图解析与代码实现(第十三届省赛为例)——STM32

&#x1f38a;【蓝桥杯嵌入式】专题正在持续更新中&#xff0c;原理图解析✨&#xff0c;各模块分析✨以及历年真题讲解✨都在这儿哦&#xff0c;欢迎大家前往订阅本专题&#xff0c;获取更多详细信息哦&#x1f38f;&#x1f38f;&#x1f38f; &#x1fa94;本系列专栏 - 蓝…

【STM32】入门(十三):FreeRTOS

1、FreeRTOS简述 完全免费&#xff1a;FreeRTOS是完全免费的实时操作系统&#xff1b; 源码简单&#xff1a;只需 3 个 RTOS 移植通用的源文件和 1 个微控制器专用的源文件&#xff1b; 镜像较小&#xff1a;具有最小 ROM、RAM 和处理开销。RTOS 内核二进制映像通常介于 6 K 到…

基于RT-Thread完整版搭建的极简Bootloader

项目背景Agile Upgrade: 用于快速构建 bootloader 的中间件。example 文件夹提供 PC 上的示例特性适配 RT-Thread 官方固件打包工具 (图形化工具及命令行工具)使用纯 C 开发&#xff0c;不涉及任何硬件接口&#xff0c;可在任何形式的硬件上直接使用加密、压缩支持如下&#xf…

详解物联网常用协议:IIC和RS485通信协议

在单片机开发中我们经常会听到协议二字&#xff0c;协议是单片机相互通信中必须遵守的规则&#xff0c;只有遵守协议才能实现二者之间的通信。协议的种类非常的多&#xff0c;可以满足不同设备和不同操作系统的通信要求&#xff0c;下面小编给大家介绍沐渥科技常用的两种通信协…

STM32之PWM

PWMPWM&#xff0c;英文名Pulse Width Modulation&#xff0c;是脉冲宽度调制缩写&#xff0c;它是通过对一系列脉冲的宽度进行调制&#xff0c;等效出所需要的波形&#xff08;包含形状以及幅值&#xff09;&#xff0c;对模拟信号电平进行数字编码&#xff0c;也就是说通过调…

嵌入式系统实验——【玄武F103开发板】实现两个LED小灯闪烁

目录一、实验文件main.cstm32f10x.h二、实验思路&#xff08;一&#xff09;打开两个LED小灯1.在玄武F103开发板上找到LED0、LED1对应的GPIO控制寄存器2.找到GPIOB、GPIOE的地址3.打开APB2外设时钟的使能寄存器4.对GPIO寄存器进行设置对端口输出寄存器进行设置&#xff08;二&a…

汇编语言程序设计(二)之寄存器

系列文章 汇编语言程序设计&#xff08;一&#xff09; 寄存器 在学习汇编的过程中&#xff0c;我们经常需要操作寄存器&#xff0c;那么寄存器又是什么呢&#xff1f;它是用来干什么的&#xff1f; 它有什么分类&#xff1f;又该如何操作&#xff1f;… 你可能会有许多的…

STM32开发(18)----CubeMX配置RTC

CubeMX配置RTC前言一、什么是RTC&#xff1f;RTC时钟源RTC备份域二、实验过程1.CubeMX配置2.代码实现3.实验结果总结前言 本章介绍使用STM32CubeMX对RTC进行配置的方法&#xff0c;RTC的原理、概念和特点&#xff0c;配置各个步骤的功能&#xff0c;并通过实验方式验证。 一、…

【基于STM32的多功能台灯控制】

基于STM32的多功能台灯控制 在之前一篇博文中已出过智能台灯相关的介绍&#xff0c;在这里对之前的模块以及功能上进行了优化和功能上的改进&#xff0c;需源码或实物可私【创作不易-拒绝白嫖】 功能说明 1、按键模式多功能台灯在设计上使用了4个按键分别做为 按键1模式的切换…

毕设常用模块之舵机介绍以及使用方法

舵机 舵机是一种位置伺服的驱动器&#xff0c;主要是由外壳、电路板、无核心马达、齿轮与位置检测器所构成。其工作原理是由接收机或者单片机发出信号给舵机&#xff0c;其内部有一个基准电路&#xff0c;产生周期为 20ms&#xff0c;宽度为 1.5ms 的基准信号&#xff0c;将获…

5.2 对射式红外传感器旋转编码器计次

对射式红外传感器1.1 接线图VCC GND分别接电源的正负极DO数字输出端&#xff0c;随意选择一个GPIO口1.2 硬件原理当挡光片或者编码盘在对射式红外传感器中间经过时&#xff0c;DO就会输出电平变化信号&#xff0c;电平跳变信号触发STM32 PB14号口中断&#xff0c;在中断函数中执…

SD卡通信协议那些事

SD卡通信 SD卡通信协议主要包括物理层、数据传输层和应用层三个部分。 物理层&#xff1a;SD卡使用SPI或SDIO两种物理层协议进行通信。SPI是一种同步串行通信协议&#xff0c;使用4根信号线进行通信&#xff1b;SDIO是一种异步串行通信协议&#xff0c;使用9根信号线进行通信…

C语言运算符优先级以及记忆方式

C语言运算符优先级 C语言中运算符的优先级从高到低如下&#xff1a; 一元运算符&#xff1a;! – &#xff08;正数&#xff09;-&#xff08;负数&#xff09;& &#xff08;取地址&#xff09;*&#xff08;指针值&#xff09; 乘除模运算符&#xff1a;* / % 加减运…

stm32外设-GPIO

0. 写在最前 本栏目笔记都是基于stm32F10x 1. GPIO基本介绍 GPIO—general purpose intput output 是通用输入输出端口的简称&#xff0c;简单来说就是软件可控制的引脚&#xff0c; STM32芯片的GPIO引脚与外部设备连接起来&#xff0c;从而实现与外部通讯、控制以及数据采集的…

嵌入式系统实验——【玄武F103开发板】按key1熄灭两个LED灯、松开恢复点亮

这里写目录标题一、任务目标&#xff08;一&#xff09;分析二、设计思路&#xff08;一&#xff09;开启KEY1对应的GPIOx时钟1.找到KEY1&#xff08;PE3&#xff09;所在的GPIOx端口2.开启GPIOE端口时钟3.清空PE3的端口位4.设置PE3的端口位为输出模式的上拉模式5.一个易错点&a…

6.2 定时器定时中断定时器外部时钟

定时器定时中断1.1接线图因为定时器和中断系统的电路都在STM32内部&#xff0c;定时中断是为我们程序设计提供服务的&#xff0c;芯片外部只需要插一个OLED显示数据。1.2 设计思路 本设计采用模块化编程&#xff0c;在配置好的工程基础上在System文件夹中加上Timer.c、Timer.h两…

单片机学习笔记

单片机简介 1. 单片机是什么 Single-Chip Microcomputer&#xff0c;单片微型计算机&#xff0c;是一种集成电路芯片。 Microcontroller Unit, MCU 微控制器单元 SoC,System on Chip 片上系统 内存容量计算方法&#xff1a;64位电脑/8位每字节每次访问8字节&#xff0c;8字…

STM32实现UART-CAN融合式高速串口

STM32实现UART-CAN融合式高速串口 STM32的UART硬件电路&#xff0c;在进行线接传输时&#xff0c;一般低于230400bps的波特率&#xff0c;因为单端信号传输的特性&#xff0c;限制了传输距离和传输速度。而在同一块PCB板內进行短距离UART传输&#xff0c;则可以达到2Mbps及至4…

蓝桥杯嵌入式第一课--创建工程

概述学习本节之前&#xff0c;必须要先安装好 keil5 以及 CubeMX 等软硬件环境&#xff0c;如果你已经安装完成&#xff0c;请告诉自己&#xff1a;考试现在开始&#xff01;从CubeMX开始CubeMX是创建工程模板的软件&#xff0c;也是我们比赛时第一个要进行操作的软件。一、选择…

十六、FreeRTOS中如何实现互斥访问

文章目录1、多任务系统中为什么要引入互斥&#xff1f;2、如何实现互斥访问的3、需要互斥访问内核对象1、多任务系统中为什么要引入互斥&#xff1f; 首先我们假定这样的一个场景&#xff1a; 在多任务系统中&#xff0c;如果同时在两个任务中可以改变一个变量的值的时候&…

STM32的中断

目录 一、STM32中断概述 二、外部中断控制器EXTI 三、按键中断 四、串口中断 一、STM32中断概述 处理器中的中断在处理器中&#xff0c;中断是一个过程&#xff0c;即CPU在正常执行程序的过程中&#xff0c;遇到外部/内部的紧急事件需要处理&#xff0c;暂时中止当前程序的…

STM32实战项目-数码管

程序实现功能&#xff1a; 1、上电后&#xff0c;数码管间隔50ms计数&#xff1b; 2、触摸按键1调节数码管亮度&#xff0c;8个等级&#xff1b; 目录 1、硬件电路 1.1数码管 1.2TIM1620 驱动电路 二、技术讲解 2.1概述 2.2特性 2.3引脚说明 3.指令说明及配置 3.1显示…

STM32之PWM介绍;PWM实验——实现呼吸灯效果

目录 一、PWM介绍 什么是PWM 二、PWM实验——实现呼吸灯效果 1、初始化GPIO口引脚 2、初始化定时器函数 3、通过修改比较值、修改占空比值&#xff0c;来编写呼吸灯的逻辑 4、把上述呼吸灯的逻辑加入到main主函数中 5、效果演示 一、PWM介绍 什么是PWM ​ 脉冲宽度调…

STM32 GPS定位

文章目录ATGM332D简介特性引脚接入串口通信NMEA 协议解析串口输出nmealib在linux下使用ATGM332D简介 高性能、低功耗 GPS、北斗双模定位模块 特性 特性说明基本功能三维位置定位(经纬度、海拔)&#xff0c;测速&#xff0c;授时导航系统GPS、北斗 BDS&#xff08;双模&#…

STM32F103 CubeMax HAL 库开发,使用TIM定时器和DMA输出PWM方波。采坑日记

STM32F103 CubeMax HAL 库开发&#xff0c;使用TIM定时器和DMA输出PWM方波问题描述使用阻塞方式和DMA方式开启PWM的区别简短结论具体分析CubeMax配置首先是CubeMax里面的常规配置TIM DMA配置生成工程KEIL文件撰写观察实验现象工程修改工程修改内容观察现象appendix问题描述 我…

STM32基于STM32CubeMX硬件I2C驱动MPU6050读取数据

STM32基于STM32CubeMX硬件I2C驱动MPU6050读取数据✨申明&#xff1a;本文章仅发表在CSDN网站&#xff0c;任何其他网站&#xff0c;未注明来源&#xff0c;见此内容均为盗链爬取&#xff0c;请多多尊重和支持原创!&#x1f341;对于文中所提供的相关资源链接将作不定期更换。&a…

嵌入式学习笔记——DMA

DMA前言DMA概述DMA原理STM32F407的DMA简介数据的传输对象数量优先级DMA的特性总结FIFO源、目标和传输模式DMA的框图寄存器介绍代码流程需求效果总结前言 上一篇介绍了关于ADC转换的相关内容&#xff0c;在上一篇中提到了一嘴关于DMA的内容&#xff0c;本文的主角就是DMA(Direc…

STM32F103学习笔记(11)——压力传感器GZP6859D使用

一、简介 数据手册&#xff1a;https://item.szlcsc.com/3590436.html GZP6859D 型压力传感器采用 SOP6 封装形式&#xff0c;内部集成了高精度 ADC 芯片&#xff0c;对传感器芯片输出的偏移、灵敏度、温漂和非线性进行数字补偿&#xff0c;以供电电压为参考&#xff0c;产生一…

基于STM32设计的音乐播放器

一、项目背景与设计思路 1.1 项目背景 时代进步,科学技术的不断创新,促进电子产品的不断更迭换代,各种新功能和新技术的电子产品牵引着消费者的眼球。人们生活水平的逐渐提高,对娱乐消费市场需求日益扩大,而其消费电子产品在市场中的占有份额越来越举足轻重。目前消费电…

第一节 Linux 内核模块

从本章开始&#xff0c;我们就要真真正正地步入Linux 设备驱动的殿堂了。在Linux 系统中&#xff0c;设备驱动会以内核模块的形式出现&#xff0c;学习Linux 内核模块编程是驱动开发的先决条件。第一次接触Linux 内核模块&#xff0c;我们将围绕着“Linux 内核模块是什么”&…

使用阿里云IoT Studio建立物模型可视化界面

使用阿里云IoT Studio建立物模型可视化界面 上一篇文章介绍了如何使用ESP-01S上报数据到物模型&#xff1a;https://blog.csdn.net/weixin_46251230/article/details/128996719 这次使用阿里云IoT Studio建立物模型的Web页面 阿里云IoT Studio&#xff1a; https://studio.i…

九.microchip MCU D51(QFN 64PIN) 与LAN9252的PIN配置

七.microchip MCU D51(QFN 64PIN) 与LAN9252的PIN配置 7.0 参考工程 D:\HarmonyProjects\ethercat\apps\ethercat_counter_foe_app\firmware\sam_d51_lan9252_evb.X 7.1.MCU D51(QFN 64PIN) QSPI相关硬件PIN PIN17 PA08–QSPI_DATA0&#xff08;MOSI&#xff09; PIN18 PA09…

STM32F103引脚输入输出模式详解

目录 一&#xff1a;输入模式 1.1&#xff1a;模拟输入 1.2&#xff1a; 浮空输入 1.3&#xff1a;上拉输入 1.4&#xff1a;下拉输入 1.5&#xff1a; 为什么没有复用输入配置模式 二&#xff1a;输出模式 2.1&#xff1a;推挽输出 2.2&#xff1a;开漏输出 2.3&#xf…

【FreeRTOS学习 - vTaskDelay 与 xTaskGetTickCount】相对延时与绝对延时

跟着韦东山老师FreeRTOS教学资料的学习记录 FreeRTOS全部项目代码链接&#xff08;更新中&#xff09; https://gitee.com/chenshao777/free-rtos_-study 1. 相对延时函数 void vTaskDelay( const TickType_t xTicksToDelay )TickType_t 实际上是 uint32_t 的宏 如果定时10…

关于数据通信和串口(USART)的一些笔记

在上次的串口通信的实验里&#xff0c;我们简单介绍了一小部分串口和通信的笔记&#xff1b;并且在上一篇笔记里&#xff0c;我们介绍了三个比较常见和重要的通信协议SPI,I2C和CAN总线通信。今天我们来更深地了解数据通信和串口。 首先我们介绍一些数据通信的分类。 1、数据通…

【11】FreeRTOS的延时函数

目录1.延时函数-介绍2.相对延时函数-解析2.1函数prvAddCurrentTaskToDelayedList-解析2.3滴答定时器中断服务函数xPortSysTickHandler()-解析2.4函数taskSWITCH_DELAYED_LISTS() -解析3.延时函数-实验4.总结1.延时函数-介绍 函数描述vTaskDelay()相对延时xTaskDelayUntil()绝对…

智能锁语音提示芯片?看这里!

智能门锁凭借着在用户识别、安全性、管理性方面的智能化优势&#xff0c;受到了现代人们的青睐&#xff0c;它的外观设计也是紧随现代潮流。 而目前市场上所有的智能锁基本都会做语音提示功能&#xff0c;方便用户在操作使用时能简单便捷。 安全防护主要体现在可以在智能锁里加…

为何空闲事件中断多进了一次?

有人在使用STM32的UART收发并开启空闲中断时&#xff0c;有时会发现空闲中断相比预期多进一次的情况。比方&#xff0c;本来以为只会进3次空闲中断的结果进了4次&#xff1b;或者说根本没开启接收&#xff0c;一使能空闲中断就立即进一次中断服务程序&#xff1b;有时即使在使能…

纯x86汇编实现的多线程操作系统实践 - 第五章 AP的守护执行

AP的32位保护模式代码的后半部分从0x8001C000开始执行&#xff0c;完成的工作主要有&#xff1a;初始化必要的中断给BSP发送启动成功的消息创建各AP的系统进程创建各AP的用户进程循环显示各AP中用户进程执行的时间比例5.1 初始化中断5.1.1总体初始化各AP调用init_interrupt_fun…

K_A37_005 基于STM32等单片机驱动ADS1115 ADC模块 串口与OLED0.96双显示

K_A37_005 基于STM32等单片机驱动ADS1115 ADC模块 串口与OLED0.96双显示 所有资源导航一、资源说明二、基本参数参数引脚说明 三、驱动说明IIC时序对应程序: 四、部分代码说明1、接线引脚定义1.1、STC89C52RCADS1115 ADC模块1.2、STM32F103C8T6ADS1115 ADC模块 五、基础知识学习…

HNU工训中心:电子开关与信号隔离

工训中心的牛马实验 1.实验目的&#xff1a; 1) 认识三极管和MOS管构成三端电子开关电路&#xff1b; 认识信号隔离的继电器和光电隔离方式。 2) 认识施密特触发器&#xff0c;掌握一种波形变换方法。 3) 实现一种脉冲波形发生器。 2.实验资源 HBE硬件基础电路实验箱、示波…

Stm32mp157开发板学生毕业选题设计嵌入式linux+qt物联网工业电表项目

stm32mp157开发板FS-MP1A是华清远见自主研发的一款高品质、高性价比的Linux单片机二合一的嵌入式教学级开发板。开发板搭载ST的STM32MP157高性能微处理器&#xff0c;集成2个Cortex-A7核和1个Cortex-M4 核&#xff0c;A7核上可以跑Linux操作系统&#xff0c;M4核上可以跑FreeRT…

LabVIEW实现ST-Link自动烧录单片机

目录 1、添加CLI到系统环境变量中 2、查询ST-Link/V2烧录器信息 3、连接待烧录的MCU芯片 4、下载固件到Flash 5、可能会使用的命令 5.1、-Rst 5.2、-ME 5.3、-SE 6、封装好的LabVIEW库 在讲解LabVIEW实现ST-Link自动烧录之前先聊一聊ST官方提供一款专用的Flash烧录工具…

stm32 读写IIC

在main.c while 循环中 while(1){ printf(“\r\n \r\nSTM32CubeMX I2C AT24C02 Example\r\n”); printf(“\r\n I2C Write Buffer:\r\n”); for(i0; i<256; i) { I2C_Buffer_Write[i]i; /* WriteBuffer Initialization */ printf("%02X ", I2C_Buffer_Write[i]);…

cortex-A7核按键中断实验

//key.c #include "../include/key.h"//初始化EXTI控制器 void hal_exti_init(){ //RCC章节初始化//使能GPIOF RCC->MP_AHB4ENSETR|(0x1<<5);//GPIO章节初始化//GPIOF_MODERR[19:18] 00 ------->设置引脚为输入模式 GPIOF->MODER&(~(0x3<<…

基于STM32+NBIOT+华为云IOT设计的智能井盖

一、概述 智能井盖是一种通过物联网技术实现对井盖状态监测和管理的设备。当前介绍基于STM32微控制器,BC26 NBIOT模组以及华为云IOT平台设计一款智能井盖系统。该系统通过光线传感器、霍尔传感器、温湿度传感器等设备实现井盖状态的实时监测,通过NBIOT网络将数据上传到华为云…

STM32F051K8U6按键中断实例

引言 最近要开始做毕设了&#xff0c;准备用STM32做一个平衡小车&#xff0c;好久没做过STM32的裸机项目了&#xff0c;做几个项目练练手&#xff0c;复习一下。本例程使用STM32CubeMX配套hal库来实现按键中断和串口中断。芯片用的是STM32F051K8U6。 一、按键中断 &#xff0…

STM32 i2c 驱动0.42寸OLED

STM32 i2c 驱动0.42寸OLED&#xff0c;这是个项目中使用的方案&#xff0c;调试也是比较费劲&#xff0c;主要是取字模和代码的匹配&#xff0c;下个篇章中详解一下取字模的过程&#xff0c;在这个方案中的使用。 本文使用的测试代码 STM32i2c驱动0.42寸OLED&#xff0c;SSD1…

DMA的补充笔记

DMA有两个总线&#xff1a; 1、DMA存储器总线&#xff1a;DMA通过该总线来执行存储器数据的传入和传出。 2、DMA外设总线&#xff1a;DMA通过该总线访问AHB外设&#xff08;AHB主要是针对高效率、高频宽以及快速系统模块所设计的&#xff0c;主要有Flash 存储器、复位和时钟控…

【致敬未来的攻城狮计划】— 连续打卡第二十五天:RA2E1的 DTC传输模式

系列文章目录 由于一些特殊原因&#xff1a; 系列文章链接&#xff1a;&#xff08;其他系列文章&#xff0c;请点击链接&#xff0c;可以跳转到其他系列文章&#xff09; 24.RA2E1的 DMAC——数据传输 文章目录 系列文章目录 前言 一、DTC是什么&#xff1f; 二、DTC内部寄存…

STM32 驱动4.3寸TFT LCD 触摸屏

STM32 驱动4.3寸TFT LCD 触摸屏 STM32的FSMC接口是并行总线接口&#xff0c;可以用于驱动存储芯片如FLASH/SRAM等&#xff0c;也可以用于驱动并口LCD屏。触摸屏是在显示屏上覆盖一层触摸感应的外屏&#xff0c;有单独的接口输出坐标数据。 这里以STM32F103VET6开发板连接4.3寸…

20_FreeRTOS低功耗模式

目录 低功耗模式简介 STM32低功耗模式 Tickless模式详解 Tickless模式相关配置 实验源码 低功耗模式简介 很多应用场合对于功耗的要求很严格,比如可穿戴低功耗产品、物联网低功耗产品等。 一般MCU都有相应的低功耗模式,裸机开发时可以使用MCU的低功耗模式。 FreeRTOS也…

STM32基于HAL工程通过硬件SPI驱动DS1302

STM32基于HAL工程通过硬件SPI驱动DS1302✨申明&#xff1a;本文章仅发表在CSDN网站&#xff0c;任何其他网站&#xff0c;未注明来源&#xff0c;见此内容均为盗链和爬取&#xff0c;请多多尊重和支持原创!&#x1f341;对于文中所提供的相关资源链接将作不定期更换。&#x1f…

STM32程序占用的内存容量计算

MKD编译完成后查看以下数据的大小&#xff1a; Code&#xff1a;表示程序所占用 FLASH 的大小&#xff08; FLASH&#xff09;。 RO-data&#xff1a;即 Read Only-data&#xff0c; 表示程序定义的常量&#xff0c;如 const 类型&#xff08; FLASH&#xff09;。 RW-data&…

【蓝桥杯】【嵌入式组别】【客观题】

试题涉及的基础知识 C程序设计基础知识模拟/数字电子技术基础ARM Cortex M3 软件编程与调试基于STM32处理器的开发应用 分值比例 客观题:30%基于硬件平台的程序设计与调试: 70% 【注意】做客观题时可查阅手册、打开Keil等 【用时】控制在30min左右 C语言:结构体、指针、数…

I2C总线改变MCP4017阻值和ADC多通道采集电压

目录 MCP4017简介 通过I2C总线改变数字电位器阻值 ADC多通道采集分样电压&#xff08;PB14&#xff09; MCP4017简介 MCP4018适合做分压&#xff0c;MCP4017可以做可变电阻&#xff0c;滑到左边是R(AB)&#xff0c;滑到中间是R(AB)/2&#xff0c;滑到右边是0Ω。100kΩ的阻值…

pyOCD-一个基于python的开源Cortex-M单片机调试工具

pyOCD 是一个基于 Python 的工具和 API&#xff0c;用于调试、编程和探索 Arm Cortex 微控制器。它支持通过命令行或 IDE&#xff08;如 VSCode Cortex-Debug 插件和 Eclipse Embedded CDT&#xff09;使用 gdb 进行调试&#xff0c;支持将固件和数据镜像编程到内部或外部闪存中…

STM32定时器的配置,解析预分频系数和重装载值与时钟频率的关系

&#x1f38a;【蓝桥杯嵌入式】专题正在持续更新中&#xff0c;原理图解析✨&#xff0c;各模块分析✨以及历年真题讲解✨都在这儿哦&#xff0c;欢迎大家前往订阅本专题&#xff0c;获取更多详细信息哦&#x1f38f;&#x1f38f;&#x1f38f; &#x1fa94;本系列专栏 - 蓝…

STM32 HAL库PID控制电机 第二章 TB6612FNG芯片驱动GB37-520电机

STM32 HAL库PID控制电机 第二章 TB6612FNG芯片驱动GB37-520电机(HAL库) 1 电路图 2 TB6612简介 TB6612是双驱动&#xff0c;可同时驱动两个电机 STBY&#xff1a;接单片机的IO口清零电机全部停止&#xff0c;置1通过AIN1 AIN2&#xff0c;BIN1&#xff0c;BIN2 来控制正反转…

从0学习stm32

1.STM32介绍&#xff1a; ST&#xff1a;指的是意法半导体&#xff1b; M&#xff1a;指定微处理器 使用的是ARMCortex-M3 ARM分成三个系列&#xff1a; Cortex-A: 针对多媒体应用(手机) Cortex-R:针对对实时性和性能有一定要求的场景 Cortex-M&#xff1a;针对低功耗高性…

嵌入式硬件电路设计

第一、电源确定 电源对于嵌入式系统中的作用可以看做是空气对人体的作用&#xff0c;甚至更重要&#xff1a;人呼吸的空气中有氧气、二氧化碳和氮气等但是含量稳定&#xff0c;这就相当于电源系统中各种杂波&#xff0c;我们希望得到纯净和稳定符合要求的电源&#xff0c;但由于…

STM32+EC20实现4G无线通信

EC20是一款集成度非常高的4G无线通信模块&#xff0c;支持多种常见通信频段&#xff0c;能满足几乎所有的M2M(MachinetoMachine)应用需求。模块支持TCP/UDP/FTP等一众网络协议&#xff0c;内置多星座高精度定位GNSS接收机&#xff0c;快速提供准确的经纬度信息&#xff0c;UART…

「STM32入门」TIM定时中断

定时器的简介 定时器可以对输入的时钟进行计数&#xff0c;并在计数值达到设定值时触发中断&#xff0c;在中断内可以执行中断事件不仅具备基本的定时中断功能&#xff0c;而且还包含内外时钟源选择&#xff0c;主从触发模式&#xff0c;输入捕获&#xff0c;输出捕获&#xff…

stm32学习第四天

DMA1&#xff1a;各个通道一览 DMA2&#xff1a;各个通道一览 1.stm32DMA相关固件库函数说明 第一个参数选择哪一个DMA的哪一个通道 初始化函数 void DMA_Init(DMA_Channel_TypeDef* DMAy_Channelx, DMA_InitTypeDef* DMA_InitStruct); typedef struct {uint32_t DMA_Periph…

【STM32】基础知识 第六课 内核 架构

【STM32】基础知识 第六课 内核 & 架构F1 系统架构F4 系统架构F7 系统架构H7 系统架构F1 系统架构 4 个主动单元 4 个被动单元 主动单元被动单元Cortex M3 内核 DCode 总线 (D-Bus)内部 FLASHCortex M3 内核系统总线 (S-Bus)内部 SRAM通用 DMA1FSMC通用 DMA2AHB 到 APB …

C6678-控制GPIO输入/输出

C6678-控制GPIO输入/输出 术语寄存器起始地址原理输入输出测试中断功能原理中断原理框图芯片中断控制器原理框图内核中断控制器原理框图中断路由架构一级中断表二级中断表CIC0二级中断CIC1二级中断CIC2二级中断CIC3 中断演示代码参考资料 术语 NMI&#xff1a; 不可屏蔽中断CI…

[Platforimio] LVGL +TFT_eSPI实现触摸功能

&#x1f4a5;&#x1f4a5;&#x1f49e;&#x1f49e;欢迎来到本博客❤️❤️&#x1f4a5;&#x1f4a5; 本人持续分享更多关于电子通信专业内容以及嵌入式和单片机的知识&#xff0c;如果大家喜欢&#xff0c;别忘点个赞加个关注哦&#xff0c;让我们一起共同进步~ &#x…

【正点原子STM32精英V2开发板体验】体验LVGL的SD NAND文件系统

目的 验证基于SD NAND卡在正点原子STM32精英V2开发板上的兼容效果 实验材料 正点原子STM32精英V2开发板 TF 卡一片 SD NAND卡一片 实验步骤 1、打开例程【正点原子】精英STM32F103开发板 V2-资料盘(A盘)\4&#xff0c;程序源码\3&#xff0c;扩展例程\4&#xff0c;LVGL…

使用PY003基于外部中断+定时器的方式实现NEC红外解码

写在前边 最近项目用到一款遥控器是38K红外载波,NEC协议的&#xff0c;找了很多帖子有看到用外部中断下降沿判断&#xff08;但可惜判定数据的方式是while在外部中断里面死等的&#xff09;&#xff0c;有看到用100us定时器定时刷来判断&#xff0c;感觉都不太适合用在我这个工…

速速报名| 米尔将精彩亮相2023 STM32中国峰会暨粉丝狂欢节

STM32峰会已成功举办五届&#xff0c;第六届将于今年5月12日-13日在深圳重磅回归&#xff01;往年&#xff0c;米尔电子都作为官方合作伙伴&#xff0c;出席演讲并展出公司配套的核心板和开发板。 今年&#xff0c;STM32峰会更是集齐了值得你「点赞、收藏、转发」的一键三连精…

寒武纪2019秋招嵌入式软件开发笔试-牛客网刷题

寒武纪2019秋招嵌入式软件开发岗笔试 1.单片机IO口开漏输出和推挽输出有什么区别&#xff1f; 我答的&#xff1a;单片机的IO口可以配置为开漏输出和推挽输出两种模式&#xff0c;它们的主要区别在于输出方式和驱动能力不同。 开漏输出是指输出器件&#xff08;通常是晶体管&…

【HAL库】STM32F407----CAN通信----中断详解

CAN通信----基本原理 CAN通信----电路图 一、CAN通信----中断简介 STM32F407的CAN通信一共有四个专用中断&#xff0c;分别是&#xff1a; 发送中断FIFO0 接收中断FIFO1 接收中断错误中断 具体如下图所示&#xff1a; 二、CAN通信----中断寄存器 CAN中断使能寄存器&#x…

国民技术N32G430开发笔记(8)- 内部Flash的读写操作

N32G430 内部Flash的读写操作 1、主存储区最大为 64KB&#xff0c;也称作主闪存存储器&#xff0c;包含 32 个 Page&#xff0c;用于用户程序的存放和运行&#xff0c;以及数 据存储。 每一页的大小为2K字节 2、IAP 升级我们将64K的flash分区如下&#xff1a; Boot 0x800000…

H7-TOOL的CANFD Trace全解析功能制作完成,历时一个月(2023-04-28)

为了完成这个功能&#xff0c;差不多耗费了一个月时间&#xff0c;精神状态基本已经被磨平了。 当前已经支持&#xff1a; 1、LUA小程序控制&#xff0c;使用灵活。 2、采用SWD接口直接访问目标板芯片的CANFD外设寄存器和CANFD RAM区实现&#xff0c;支持USB&#xff0c;以太网…

【keil5开发ARM工程时使用STLink调试的技巧分享】

ARM工程开发小技巧系列文章 St link V2驱动安装方法 文章目录 ARM工程开发小技巧系列文章前言一、准备工作1. 硬件连接2. 安装stlink的驱动3. Keil 5配置 二、调试示例1.进入调试状态2. 调试演示2.1 复位&#xff0c;使程序复位到初始位置2.2 单步调试2.3 逐步调试2.4 跳出调…

STM32物联网实战开发(2)——回调函数

在第一篇博客中提到了全新的程序框架&#xff0c;我们会大量的使用回调函数&#xff0c;其中包括枚举类型、结构体、函数指针的应用。 回调函数&#xff1a;就是一个通过函数指针调用的函数。如果你把函数的地址传递给中间函数的形参&#xff0c;中间函数通过函数指针调用其所…

stm32开发之threadx+netxduo(tcp 服务端使用记录)

前言 本篇需要用到threadx之动态内存的实现记录 里面的动态内存分配管理代码.开发环境使用的stm32cubemxclion组合芯片使用的是stm32f407zgt6,网口使用的是lan8720&#xff0c;使用cubemx提供的lan8742也可以驱动&#xff0c;注意实际的网口与芯片的引脚 示例代码 tcp 服务端…

simulink的硬件支持下,串口发送的模型,stm32f407的串口程序调试错误

串口调试助手能接收到数据&#xff0c;为何是8个数据&#xff1f;如之奈何&#xff1f; 参考文章&#xff1a; STM32CubeMxMATLAB Simulink串口输出实验_用stm32cubemx生成的串口都是输出-CSDN博客根据 该文章发送字符串 hello&#xff0c;发送数量为5&#xff0c;接收也是he…

STM32页读页写AT24CXX(HAL库 模拟IIC)

参考文章&#xff1a; 这里附上一篇看到写得很好的大佬的文章&#xff1a;STM32F407单片机通用24CXXX读写程序&#xff08;KEIL&#xff09;&#xff0c;兼容24C系列存储器&#xff08;24C01到24C512&#xff09;&#xff0c;支持存储器任意地址跨页连续读写多个页 AT24C32/64…

A9 STM32_HAL库函数 之 DAC通用驱动所有函数的介绍及使用

A9 STM32_HAL库函数 之 DAC通用驱动所有函数的介绍及使用 1 通用定时器&#xff08;TIM&#xff09;预览1.1 HAL_DAC_Init1.2 HAL_DAC_DeInit1.3 HAL_DAC_MspInit1.4 HAL_DAC_MspDeInit1.5 HAL_DAC_Start1.6 HAL_DAC_Stop1.7 HAL_DAC_Start_DMA1.8 HAL_DAC_Stop_DMA1.9 HAL_DAC…

蓝桥杯嵌入式(G431)备赛笔记——第十一届第二场真题

关键代码&#xff1a;、 user.c: u32 adc_tick 0; // 定义一个无符号32位整型变量 adc_tick&#xff0c;用于记录上次ADC处理的时间戳 u32 r37_value 0; // 定义一个无符号32位整型变量 r37_value&#xff0c;用于存储ADC通道2的采样值 u32 r38_value 0; // 定义一个无符号…

基于STM32的智能家居系统设计与实现:手机APP与语音控制家电

基于STM32的智能家居系统设计与实现&#xff1a;手机APP与语音控制家电 一、研究背景与意义 随着科技的进步和人们生活水平的提高&#xff0c;智能家居系统逐渐成为现代家庭的新宠。智能家居系统通过集成各种智能设备和传感器&#xff0c;为用户提供更加便捷、舒适和安全的家…

23、STM32——CAN

1、CAN 协议简介 CAN 与 I2C、SPI 等具有时钟信号的同步通讯方式不同&#xff0c;CAN 通讯并不是以时钟信号来进行同步的&#xff0c;它是一种异步通讯&#xff0c;只具有 CAN_High 和 CAN_Low 两条信号线&#xff0c;共同构成一组差分信号线&#xff0c;以差分信号的形式进行…

HAL STM32G4 +TIM1 3路PWM互补输出+VOFA波形演示

HAL STM32G4 TIM1 3路PWM互补输出VOFA波形演示 ✨最近学习研究无刷电机驱动&#xff0c;虽然之前有使用过&#xff0c;但是在STM32上还没实现过。本文内容参考欧拉电子例程&#xff0c;从PWM驱动开始学习。 欧拉电子相关视频讲解&#xff1a; STM32G4 FOC开发实战—高级定时器发…

STM32之HAL开发——初识HAL库

HAL库介绍 自从ST公司推出HAL库来替代原有的标准库&#xff0c;HAL库开始慢慢的被广大STM32开发者所接受&#xff0c;现在已经在实际的项目开发中大量使用&#xff0c;HAL库使得项目的移植变得简单容易。 Drivers&#xff1a;文件夹下是官方的 CMSISI 库&#xff0c; HAL 库&am…

STM32 CubeMx创建Lwip+FreeRtos时出现ping不通的问题

STM32 CubeMx创建LwipFreeRtos时出现ping不通 1、配置ETH&#xff0c;使用中断 2、配置Lwip&#xff08;使用静态ip&#xff09;&#xff0c;其余什么都不用管 3、配置FreeRtos&#xff08;选择V2版本&#xff09;&#xff0c;其余什么都不用管 4、创建代码 5、查看自动生…

普中STM32-PZ6806L 使用FlyMcu串口烧录程序

简介 我的串口下载电路坏掉了, 所以研究了下如何通过USB转TTL进行程序的下载, 为后续Bootloader部分做准备;连接 我的板几乎是十年前买的&#xff0c; 所以电路与现有网上的资料有些差异, 所以仅供参考 USB 转 TTL线 与开发板 连接&#xff0c; 如图图中 ①, 需要去掉第一个…

STM32 | Systick定时器(第四天)

STM32 第四天 一、Systick定时器 1、定时器概念 定时器:是芯片内部用于计数从而得到时长的一种外设。 定时器定时长短与什么有关???(定时器定时长短与频率及计数大小有关) 定时器频率换算单位:1GHZ=1000MHZ=1000 000KHZ = 1000 000 000HZ 定时器定时时间:计数个数…

AES工作流程

工作流程 模式 1&#xff1a;加密 ⚫ 复位EN 重置AES模块 ⚫ 设置模式寄存器mode[1:0]00&#xff0c;设置流数据处理模式寄存器CHMOD[1:0] ⚫ 写AES_KEYRx寄存器&#xff0c;CTR和CBC模式下写AES_IVRx寄存器 ⚫ 写EN1&#xff0c;使能AES ⚫ 写AES_DINR 寄存器4次 ⚫ 等待CCF标…

嵌入式软件开发常用的编辑代码工具、比较工具和测试工具的使用说明和操作步骤

嵌入式软件开发常用的编辑代码工具有&#xff1a; Eclipse Eclipse是一款开源的集成开发环境&#xff0c;支持多种编程语言和插件&#xff0c;适用于多种嵌入式开发平台。以下是Eclipse的使用说明和操作步骤&#xff1a; 步骤1&#xff1a;创建新工程 单击“File”菜单&…

CM3学习-基础知识

红叶何时落水 Cortex-M3 是一个 32 位处理器内核。 CM3 采用了哈佛结构&#xff0c;拥有独立的指令总线和数据总线&#xff0c; CM3 处理器拥有 R0-R15 的寄存器组,而非RSIC-V中的32个&#xff0c; 并且0号寄存器不保持0 R13寄存器用于存放堆栈指针&#xff0c;msp,psp; msp 异…

STM32F1xx -- Systick 系统滴答定时器

1. SysTick 是一个向 CPU 提供定时中断信号的计数器&#xff0c;其计数速率是由 Cortex-M 系列处理器的系统时钟频率和 SysTick 计数器的重载值共同决定的。 1.1 Systick 时钟来源之一&#xff0c;Systick 一般设置为1ms 中断一次&#xff0c;为系统任务调度提供服务&#xff…

STM32 ARM Thumb-2 汇编指令集

1. STM32系列单片机采用ARM Cortex-M内核&#xff0c;其中包括Cortex-M0、Cortex-M0、Cortex-M3、Cortex-M4和Cortex-M7等型号。这些内核都采用了Thumb-2指令集。 Thumb-2指令集是ARM公司在ARMv6版本中推出的一种指令集&#xff0c;它提供了一种通用32位指令和一些16位指令的混…

Vscode搭建开发调试STM32环境IDE

单片机开发IDE环境如KeilMDK&#xff0c;虽然操作简单&#xff0c;方便调试。但就是代码编辑风格很老套&#xff0c;中文符号乱码还是常有的事。而如今流行的vscode编辑器很不错&#xff0c;免费且相当轻量级&#xff0c;用来代码开发体验很不错&#xff0c;看着都舒服。Clion …

初出茅庐的小李博客之CAN通信基础知识

CAN是什么&#xff1f; CAN 是 Controller Area Network 的缩写&#xff0c;中文是控制器局域网路,是 ISO 国际标准化的串行通信协议之一。 CAN:控制器局域网( Controller Area Network)属于现场总线的范畴&#xff0c;是一种有效支持分布式控制系统的串行通信网络 CAN是由德…

如何学好STM32,需要哪些步骤?

学习STM32应用于项目开发需要以下步骤&#xff1a; 学习STM32的基本知识&#xff1a;包括STM32的架构、寄存器、外设等&#xff0c;理解STM32的工作原理和基本操作方法。 学习嵌入式系统和RTOS的基础知识&#xff1a;了解嵌入式系统的概念、RTOS的基本原理和使用方法&#xff…

STC15W104 模块化编程用定时器实现灯的闪烁(定时器原理讲解二)

上一篇文章讲了原理和示例程序查找。这一篇讲怎么修改例程。 定时器0 1ms中断一次 1s翻转LED1一次 思维导图内部晶振 11.0592MHz 1T 不分频 设置定时器的工作模式 16位自动装载 计算1ms需要计时的次数 修改定时器0中断函数 使能定时器中断 开始计数 开启总中断确定内部晶振 1…

STM32-ADC过采样实验

我们之前已经有过一些关于STM32-ADC的笔记和实验代码了&#xff0c;链接如下&#xff1a; 关于ADC的笔记1_Mr_rustylake的博客-CSDN博客 STM32-ADC单通道采集实验_Mr_rustylake的博客-CSDN博客 STM32-单通道ADC采集&#xff08;DMA读取&#xff09;实验_Mr_rustylake的博客-…

K_A39_004 基于STM32等单片机驱动AT24C02模块 串口+OLED0.96显示

K_A39_004 基于STM32等单片机驱动AT24C02模块 串口OLED0.96显示 所有资源导航一、资源说明二、基本参数参数引脚说明 三、驱动说明时序对应程序: 四、部分代码说明1、接线引脚定义1.1、STC89C52RCAT24C02模块1.2、STM32F103C8T6AT24C02模块 五、基础知识学习与相关资料下载六、…

我的小实验项目:实现人体红外采集控制LED灯亮灭

从传感器电路图中找到红外感应&#xff0c;找到接口D2&#xff0c;可以看出&#xff0c;采集的信息从D2进入 从核心板电路图找到D2接口&#xff0c;发现引脚为PB8 并用相似的方法&#xff0c;找到用于代表有人无人的LED灯 在STM32CubeMx里设置 在Keil里设置代码 main.c&#x…

2-单片机GPIO相关知识点及流水灯和按键采集小实验

目录 小问题 &#xff1a;单片机上电后第一个执行的程序是&#xff1f; 【1】GPIO 1.定义 2.应用 I - Input 输入采集 O - Output 输出控制 3.GPIO结构框图 4.功能描述 输入功能 5.相关寄存器 【2】输出控制实验 实验&#xff1a;点亮一盏LED灯 1.实验…

HAL STM32 硬件I2C方式读取AS5600磁编码器获取角度例程

HAL STM32 硬件I2C方式读取AS5600磁编码器获取角度例程 &#x1f4cd;相关篇《STM32 软件I2C方式读取AS5600磁编码器获取角度例程》 ✨stm32使用硬件I2C去读取角度数据&#xff0c;通过STM32CubeMX工具配置工程&#xff0c;读取角度数据&#xff0c;只需要调用一个函数&#xf…

STM32使用HAL库SPI驱动W25Q16 使用FATFS文件系统+USB虚拟U盘

概述 使用stm32F407驱动W25Q16&#xff0c;使用FATFS文件系统&#xff0c;USB虚拟优盘功能&#xff0c;W25Q16一共512个扇区&#xff0c;其中128作为flash存取相关数据&#xff0c;其他的384个扇区用作虚拟U盘使用 CubeMax配置过程 代码 W25Q16.c /***********************…

STM32CubeIDE基础学习-RS232通信

STM32CubeIDE基础学习-RS232通信 文章目录 STM32CubeIDE基础学习-RS232通信前言第1章 工程配置第2章 代码编写第3章 实验现象总结 前言 RS232也是串口的一种&#xff0c;RS-232是由电子工业协会(Electronic Industries Association, EIA)所制定的异步传输标准接口。在1962年发布…

华清远见STM32U5开发板助力2024嵌入式大赛ST赛道智能可穿戴设备及IOT选题项目开发

第七届&#xff08;2024&#xff09;全国大学生嵌入式芯片与系统设计竞赛&#xff08;以下简称“大赛”&#xff09;已经拉开帷幕&#xff0c;大赛的报名热潮正席卷而来&#xff0c;高校电子电气类相关专业&#xff08;电子、信息、计算机、自动化、电气、仪科等&#xff09;全…

simulink+stm32+keil:使用Simulink点亮STM32的LED灯

https://pan.baidu.com/s/1lsP2Ev8oDAhPEYmF2X6s9g?pwdcum4 提取码: cum4 stateflow中的after函数并不能起到延时作用&#xff0c;不知道哪里有问题&#xff0c;只好改成全局变量k_count. 1ms定时器中断中需要改变k_count,main中需要调用simulink的生成代码。

【STM32 HAL库SPI/QSPI协议学习,基于外部Flash读取】

1、SPI协议 简介 SPI 协议是由摩托罗拉公司提出的通讯协议 (Serial Peripheral Interface)&#xff0c;即串行外围设备接口&#xff0c;是一种高速全双工的通信总线。它被广泛地使用在 ADC、LCD 等设备与 MCU 间&#xff0c;要求通讯速率较高的场合。 通信方式&#xff1a;同…

机械女生,双非本985硕,目前学了C 基础知识,转嵌入式还是java更好?

作为单片机项目开发的卖课佬&#xff0c;个人建议&#xff0c;先转嵌入式单片机开发方向&#xff0c;哈哈。 java我也学过&#xff0c;还学过oracle、mysql数据库&#xff0c;只是当时没做笔记&#xff0c;找不好充分的装逼证据了。 从实习通过业余时间&#xff0c;学到快正式毕…

STM32的芯片无法在线调试的情况分析

问题描述 本博客的目的在于帮助网友尽快地解决问题&#xff0c; 避免浪费时间&#xff0c; 查漏补缺。 在stm32的开发过程中&#xff0c;有时会遇到"STM No Target connected"的错误提示&#xff0c;这说明MDK开发环境无法与目标设备进行通信&#xff0c;导致无法烧…

STM32使用U盘进行固件更新

前面提过串口IAP升级可以方便的进行不拆机固件更新 STM32串口IAP-CSDN博客文章浏览阅读577次,点赞20次,收藏6次。那么有哪些便捷的升级方式呢,其实有很多,比较常见的比如手机软件更新,很典型的远程升级案例。前面说过“修改STM32链接脚本可以修改程序写入闪存的起始地址”…

OrangePi AIpro(香橙派)远程连接,在windows上显示图形化桌面

OrangePi AIpro&#xff08;香橙派&#xff09;远程连接&#xff0c;在windows上显示图形化桌面 一、连接调试串口1、硬件连接&#xff08;1&#xff09;首先需要准备一根 Micro USB接口&#xff08;老安卓线&#xff09;的数据线&#xff08;2&#xff09;将 Micro USB 接口一…

单片机串口通过查询接收数据,同时具备接收超时、异常判断,常规通用处理算法实现

单片机串口通过查询接收数据,同时具备接收超时、异常判断,常规通用处理算法实现;1、实现过程 (1)初始化串口:首先,需要配置串口的基本参数,如波特率、数据位、停止位和校验位等。这通常涉及到GPIO引脚的配置(如PA2为发送引脚,PA3为接收引脚),以及USART的初始化。 …

STM32独立按键扫描,支持同时按下、长按、快速键值

背景 有个项目在实际应用中&#xff0c;采用8个独立按键&#xff0c;每个按键都赋予不同功能&#xff0c;实际使用过程中很多时候都是需要比较特殊的按键操作&#xff0c;例如&#xff1a;长按10s按键、长按5s按键&#xff0c;或者长按需要有快速按键值的反馈&#xff0c;这个…

【STM32】基础知识 第十四课 看门狗 IWDG 深入理解与应用

【STM32】基础知识 第十四课 看门狗 IWDG 深入理解与应用 概述什么是独立看门狗 (IWDG)独立看门狗工作原理键寄存器状态寄存器 (IWDG_SR)寄存器配置操作步骤 案例总结 概述 STM32 系列单片机具有强大的内置功能, 其中之一就是独立看门口 (IWDG). 看门狗定时器是一种重要的硬件…

单片机的几种ota内存分区表介绍

前言 在做项目时&#xff0c;现在越来越多被要求单片机要支持升级功能。需求变化快&#xff0c;固件要不断支持新的功能&#xff0c;手动人工去烧固件越来越显得麻烦&#xff0c;已经操作成本高。 典型的方式是通过单片机外接的蓝牙、wifi等无线模块&#xff0c;或者通过单片…

STM32F10X--中断

中断 中断优先级数值越小&#xff0c;中断优先级越高 中断编程的顺序 1、使能的是外设&#xff0c;配置外设寄存器 2、配置中断优先级分组&#xff0c;在msic.h里面有中断优先级组分组函数 这个函数里面配置了SCB->AIRCR寄存器 3、配置NVIC寄存器&#xff0c;初始化NVIC…

单片机GD32F303RCT6 (Macos环境)开发 (十五)—— i2c1采用DMA方式的读写函数

i2c1采用DMA方式的读写函数 1、关于i2c1的DMA的映射如图 2、关于代码的宏定义配置 Application目录的Makefile中 ENABLE_I2C_TEST yes才会编译I2C1的相关代码。 同时修改i2c.h文件&#xff0c;定义I2C1_MODE为I2C1_MODE_DMA&#xff0c;这样i2c1的配置为dma模式。 #define …

FLASH锁死,STLink烧程序烧完一次无法再烧?

ST烧程序烧完一次无法再烧&#xff0c;因为把烧录引脚占用&#xff0c;所以可以再配置一下。 &#xff08;平时不勾PA13和PA14&#xff0c;也是会通过PA13和PA14烤录&#xff0c;勾上是为了防止锁死FLASH&#xff09; 如果锁住&#xff0c;再烧烧不进去 卡点&#xff0c;按住复…

stm32 iic调试ds1307 rtc时钟

使用代码 (1条消息) stm32iic调试ds1307rtc时钟&#xff0c;采用iic接口&#xff0c;驱动软件&#xff0c;可以设置&#xff0c;读取ds1307时钟代码资源-CSDN文库 使用STM32调试ds1307&#xff0c;采用iic接口&#xff0c;由于有个项目需要使用外部RTC功能&#xff0c;所以需…

FreeRTOS-事件组详解

✅作者简介&#xff1a;嵌入式入坑者&#xff0c;与大家一起加油&#xff0c;希望文章能够帮助各位&#xff01;&#xff01;&#xff01;&#xff01; &#x1f4c3;个人主页&#xff1a;rivencode的个人主页 &#x1f525;系列专栏&#xff1a;玩转FreeRTOS &#x1f4ac;保持…

四轴飞控DIY Mark4 - 优化后续二

四轴飞控DIY Mark4 - 优化后续二 1. 源由2. 优化方案3. 实现细节3.1 锡箔屏蔽3.2 热缩管固定3.3 电池地接地3.4 更换飞控 4. 整体效果4.1 配置4.2 Roll&Pitch波形4.3 电机波形 5. 结论6. 附录&#xff1a;FPV Quad GPS cable sheilding 1. 源由 Betaflight BN880 GPS 简单测…

SmartKnob移植ESP32和STM32

目录 说明一、SmartKnob简介二、SmartKnob移植ESP322.1、电机部分2.2、增加LED和按键2.2.1、LED闪烁2.2.2、按键2.2.2.1、应变片方案2.2.2.2、MT6701方案2.2.2.3、实体按键 2.3、增加氛围灯2.3.1、WS28122.3.2、FastLED 库2.3.3、Freenove_WS2812_Lib_for_ESP32 库 三、SmartKn…

STM32实现基于RS485的简单的Modbus协议

背景 我这里用STM32实现&#xff0c;其实可以搬移到其他MCU&#xff0c;之前有项目使用STM32实现Modbus协议 这个场景比较正常&#xff0c;很多时候都能碰到 这里主要是Modbus和变频器通信 最常见的是使用Modbus实现传感器数据的采集&#xff0c;我记得之前用过一些传感器都…

STM32G474:一款高性能的微控制器

目录 STM32G474简介 开发环境设置 示例代码 STM32G474的特性 中断和时钟系统 开发板和学习资源 结语 在众多微控制器中&#xff0c;STM32G474独树一帜&#xff0c;它以强大的性能、丰富的功能&#xff0c;赢得了工程师们的青睐。这款来自STMicroelectronics公司的高性能…

嵌入式面经-ARM体系架构-计算机基础

嵌入式系统分层 操作系统的作用&#xff1a;向下管理硬件&#xff0c;向上提供接口&#xff08;API&#xff09; 应用开发&#xff1a;使用操作系统提供的接口&#xff08;API&#xff09;&#xff0c;做上层的应用程序开发&#xff0c;基本不用去关内核操作硬件是怎么实现的 …

C51--摇头测距小车

摇头测距小车——舵机和超声波封装 #include "reg52.h"#include "HC04.h" #include "Delay.h" #include "sg90.h" #include "motor.h"#define MIDDLE 0 #define LEFT 1 #define RIGHT 2void main() {char dir;double di…

STM32的CAN外设

我们的CAN控制器支持最高的通讯速率为1Mb/s&#xff0c;可以自动地接收和发送CAN报文&#xff0c;支持使用标准ID和扩展ID地报文&#xff0c;外设中具有3个发送邮箱&#xff0c;发送报文的优先级可以使用软件控制&#xff0c;还可以记录发送的时间&#xff0c;具有两个3级深度的…

ARM架构学习笔记1-寄存器

ARM内部寄存器 有R0-R1516个寄存器 R0-R12通用目的寄存器Program status Register&#xff1a;程序状态寄存器 &#xff0c;用来保存某些状态的值保存:m3/m4架构保存在xPSR&#xff0c;coretex-A7架构保存在CPSR中XPSR是程序状态寄存器 MRS命令&#xff1a;表示Move to Regis…

GD32F470_AS608光学指纹识别模块 指纹采集/STM32 51单片机模块移植

2.7 指纹识别传感器 光学指纹识别传感器采用了国内著名指纹识别芯片公司杭州晟元芯片技术有限公司(Synochip) 的 AS608 指纹识别芯片。芯片内置 DSP 运算单元&#xff0c;集成了指纹识别算法&#xff0c;能高效快速采集 图像并识别指纹特征。模块配备了串口、USB 通讯接口&…

STM32单片机智能手环心率计步器体温

简介 STM32F103C8T6单片机核心板电路、ADXL345传感器电路、心率传感器电路、温度传感器和lcd1602电路组成。通过重力加速度传感器ADXL345检测人的状态&#xff0c;计算出走路步数、走路距离和平均速度。过心率传感器实时检测心率&#xff0c;通过温度传感器检测温度。通过LCD16…

基于STM32的汽车防窒息系统

文章目录 基于STM32的汽车防窒息系统系统简介材料展示视频制作硬件连接原理图PCB实物图GSM模块使用GSM模块代码 SGP30模块SGP30模块代码 步进电机驱动步进电机代码 其他模块主逻辑代码 总结 基于STM32的汽车防窒息系统 系统简介 随着社会的发展目前汽车的流行&#xff0c;汽车大…

【STM32嵌入式系统设计与开发】——16InputCapture(输入捕获应用)

这里写目录标题 STM32资料包&#xff1a; 百度网盘下载链接&#xff1a;链接&#xff1a;https://pan.baidu.com/s/1mWx9Asaipk-2z9HY17wYXQ?pwd8888 提取码&#xff1a;8888 一、任务描述二、任务实施1、工程文件夹创建2、函数编辑&#xff08;1&#xff09;主函数编辑&#…

matlab的歧视:simulink不能使用stm32f4系列的ADC?

2023b的matlab&#xff0c;stm32f407芯片&#xff0c;运行内容Using the Analog to Digital Converter Block to Support STMicroelectronics STM32 Processor Based Boards Using the Analog to Digital Converter Block to Support STMicroelectronics STM32 Processor Base…

STM32 定时器外部时钟与循迹模块

1、上篇文章介绍了定时器使用内部时钟信号计数&#xff0c;实现计数、更新中断等功能。 2、可不可以利用定时器对来自外部的信号进行计数&#xff1f;也就是对输入io的信号计数&#xff1f; 3、可以 1、定时器外部时钟 STM32的通用定时器和高级定时器都将外部信号引入…

STM32-05基于HAL库(CubeMX+MDK+Proteus)串行通信案例(中断方式接收命令)

文章目录 一、功能需求分析二、Proteus绘制电路原理图三、STMCubeMX 配置引脚及模式&#xff0c;生成代码四、MDK打开生成项目&#xff0c;编写HAL库的功能代码五、运行仿真程序&#xff0c;调试代码 一、功能需求分析 在中断机制实现按键检测的案例之后&#xff0c;我们介绍串…

基于STM32F469 discovery kit 开发板的开发2

目录基于STM32F469 discovery kit 开发板的开发2开发环境搭建keil安装F4的固件库keil配置st-link调试工具例程测试基于STM32F469 discovery kit 开发板的开发2 开发环境搭建 在嵌入式开发中&#xff0c;常用的集成开发环境&#xff08;IDE&#xff09;常用的有keil或IAR&…

STM32CubeMX学习笔记(44)——USB接口使用(HID按键)

一、USB简介 USB&#xff08;Universal Serial BUS&#xff09;通用串行总线&#xff0c;是一个外部总线标准&#xff0c;用于规范电脑与外部设备的连接和通讯。是应用在 PC 领域的接口技术。USB 接口支持设备的即插即用和热插拔功能。USB 是在 1994 年底由英特尔、康柏、IBM、…

【QT 自研上位机 与 STM32F103下位机联调>>>通信测试-基础样例-联合文章】

【QT 自研上位机 与 STM32F103下位机联调>>>通信测试-基础样例-联合文章】1、概述2、实验环境3、联合文章&#xff08;1&#xff09;对于上位机&#xff0c;可以参照如下例子&#xff08;2&#xff09;对于下位机&#xff0c;可以参照如下例子4、QT上位机部分第一步&a…

【正点原子STM32连载】第五十五章 T9拼音输入法实验 摘自【正点原子】MiniPro STM32H750 开发指南_V1.1

1&#xff09;实验平台&#xff1a;正点原子MiniPro H750开发板 2&#xff09;平台购买地址&#xff1a;https://detail.tmall.com/item.htm?id677017430560 3&#xff09;全套实验源码手册视频下载地址&#xff1a;http://www.openedv.com/thread-336836-1-1.html 4&#xff…

【stm32】USART编码部分--详细步骤

USART编码部分(文章最后附上源码) 如果看不懂步骤可以根据源码参考此篇文章就能轻而易举学会USART通信啦&#xff01; 编码步骤 第一步 开启时钟 把需要用到的USART和GPIO的时钟打开 第二部 GPIO初始化 把TX配置成复用输出&#xff0c;RX配置成输入(上拉输入、浮空输入)。…

STM32-02基于HAL库(CubeMX+MDK+Proteus)GPIO输出案例(LED流水灯)

文章目录 一、功能需求分析二、Proteus绘制电路原理图三、STMCubeMX 配置引脚及模式&#xff0c;生成代码四、MDK打开生成项目&#xff0c;编写HAL库的GPIO输出代码五、运行仿真程序&#xff0c;调试代码 一、功能需求分析 在完成开发环境搭建之后&#xff0c;开始使用STM32GP…

STM32为什么不能跑Linux?

STM32是一系列基于ARM Cortex-M微控制器的产品&#xff0c;它们主要用于嵌入式系统中。而Linux则是一个开源的类Unix操作系统&#xff0c;主要面向的是桌面电脑、服务器等资源丰富的计算机。虽然理论上可以将Linux移植到STM32上运行&#xff0c;但是由于两者之间存在着很多技术…

Linux第84步_了解Linux中断及其函数

1、中断号 中断号又称中断线&#xff0c;每个中断都有一个中断号&#xff0c;通过中断号即可区分不同的中断。 2、Linux中断API函数 需要包含头文件“#include <linux/interrupt.h>” 1)、在使用某个中断功能的时候&#xff0c;需要执行“申请中断” int request_irq(…

【XR806开发板试用】2、UDP控制的呼吸灯

【XR806开发板试用】1、UDP通信测试 上篇文章测试了XR806的UDP通信. 控制PWM控制相关的函数在device/xradio/xr806/adapter/hals/iot_hardware/wifiiot_lite文件夹下的iot_pwm.c . ├── BUILD.gn ├── iot_flash.c ├── iot_gpio.c ├── iot_i2c.c ├── iot_pwm.c ├…

STM32-04基于HAL库(CubeMX+MDK+Proteus)中断案例(按键中断扫描)

文章目录 一、功能需求分析二、Proteus绘制电路原理图三、STMCubeMX 配置引脚及模式&#xff0c;生成代码四、MDK打开生成项目&#xff0c;编写HAL库的按键检测代码五、运行仿真程序&#xff0c;调试代码 一、功能需求分析 在完成GPIO输入输出案例之后&#xff0c;开始新的功能…

stm32 HAL中断GPIO——1

1选择引脚为中断 中断详细配置 1 模式选择 上拉下拉 再点击NVIC可进行分组 再勾选如图 总结步骤 1选择中断 2配置时钟//选择外部时钟 3配置模式 4勾选NVIC

【STM32】ST-LINK 下载时遇到的问题

如果出现“ST-Link USB communication error”ST-Link USB通信错误&#xff0c;则需要启动STM32 ST-LINK Utility&#xff0c;点击【ST-LINK】->【Firmaware】更新固件&#xff0c;然后打开Kei&#xff0c;点击魔术棒->->Debug->Settings&#xff0c;开到出现类似“…

STM32 GPIO的八种工作模式各有特点,适用于不同的应用场景

学了挺久的单片机老是记不住每种模式的运用场景今天用通义千问总结了一下作为鞭策顺便记录一下 STM32 GPIO的八种工作模式各有特点&#xff0c;适用于不同的应用场景。以下是每种模式的简要描述及其对应的应用场景&#xff1a; 1. **GPIO_Mode_AIN** - **模拟输入** - **应用场…

STM32学习笔记(11_1)- SPI简介和工作原理

无人问津也好&#xff0c;技不如人也罢&#xff0c;都应静下心来&#xff0c;去做该做的事。 最近在学STM32&#xff0c;所以也开贴记录一下主要内容&#xff0c;省的过目即忘。视频教程为江科大&#xff08;改名江协科技&#xff09;&#xff0c;网站jiangxiekeji.com 本期学…

嵌入式开发--CubeMX使用入门教程

嵌入式开发–CubeMX使用入门教程 CubeMX简介 传统的单片机开发时&#xff0c;需要针对片上外设做各种初始化的工作&#xff0c;相当麻烦。 CubeMX是ST公司出品的一款图形化代码生成工具&#xff0c;通过图形化界面&#xff0c;可以非常直观的配置好各种片上外设&#xff0c;时…

基于STM32F469 discovery kit 开发板的开发1

目录基于STM32F469 discovery kit 开发板的开发开发板简介开发环境搭建安装st-link驱动基于STM32F469 discovery kit 开发板的开发 意法半导体推出的基于STM32F469 cpu的这款开发板&#xff0c;整合高性能的Cortex-M4处理器&#xff0c;其Chrom-ART Accelerator技术大大提升了…

STM32小项目总结5:ADC模数转换

ADC模数转换(单通道)&#xff1a; 解释&#xff1a;通过ADC将GPIO读取电压的0&#xff0c;1转换成0V~3.3V。即模电转为数电。 一&#xff1a;ADC初始化函数设计思路&#xff1a; (推荐设置为AD_Init) 1.开启RCC2.GPIO初始化部分3.ADC选择输入通道4.初始化ADC5.Cmd开关控制6…

Cortex‐M3/M4/M7内核的操作模式和特权等级介绍

0 前言 如果我们是基于MCU的裸机编程&#xff0c;是不需要关心内核的操作模式和特权等级的。如果是进行RTOS的开发编程&#xff0c;我们就要必要了解一下Cortex‐M3/M4/M7内核的操作模式和特权等级&#xff0c;这在RTOS的线程切换等场合会使用到。 1 Cortex‐M3/M4/M7内核的操…

【uC/OS-III篇】uC/OS-III 移植到 STM32 简明教程

uC/OS-III 移植到 STM32 简明教程 一、uC/OS-III 介绍 二、获取UCOS-III源码 三、建立项目工程 四、解决工程编译报错 五、修改项目文件 下一篇博客&#xff1a; 【uC/OS-III篇】uC/OS-III 创建第一个任务&#xff08;For STM32&#xff09; 移植后的工程自取方式&#xf…

CubeIDE如果缩短编译时间

只需要开启并行构建就可以大大缩短编译时间。 未开启 开启 可以看到效果差异还是挺大的

使用simulink开发stm32需要注意的地方--log显示数据的实时性的几种方法--stm32\adc\i2c实时性(推荐查看)

1&#xff0c;提高串口波特率–实测有效果 2&#xff0c;修改log日志–配置文件中修改 3&#xff0c;修改采样频率–simple time 下面是官方给出的说明&#xff0c;可以仔细阅读查看。 Specify the Logging buffer size in bytes. Ensure that the logging buffer size is lar…

物联网实战--入门篇之(七)嵌入式-MQTT

目录 一、MQTT简介 二、MQTT使用方法 三、MQTT驱动设计 四、代码解析 五、使用过程 六、总结 一、MQTT简介 MQTT因为其轻量、高效和稳定的特点&#xff0c;特别适合作为物联网系统的数据传输协议&#xff0c;已经成为物联网事实上的通信标准了。关于协议的具体内容看看这…

STM32学习笔记(10_1)- I2C通信协议

无人问津也好&#xff0c;技不如人也罢&#xff0c;都应静下心来&#xff0c;去做该做的事。 最近在学STM32&#xff0c;所以也开贴记录一下主要内容&#xff0c;省的过目即忘。视频教程为江科大&#xff08;改名江协科技&#xff09;&#xff0c;网站jiangxiekeji.com 本期开…

STM32F103实现激光测距传感器测距WT-VL53L0 L1

目录 本博客将采用标准库和HAL库实现 所用设备选择 引脚说明 与单片机的接线表 标准库实现 HAL库实现 本博客将采用标准库和HAL库实现 所用设备选择 单片机型号&#xff1a;STM32F103C8T6 激光测距传感器型号&#xff1a;WT-VL53L0 L1 采用串口TTL电平输出&#xff0c;可…

DMA直接存储器存取

目录 存储器映像 寄存器 DMA框图 DMA基本结构 DMA请求映射 数据宽度与对齐 ​编辑 存储器到存储器 ​编辑 外设与存储器 来源b站江科大stm3入门教程 存储器映像 寄存器 DMA框图 AHB从设备&#xff08;DMA自身的寄存器&#xff09;连接在总线矩阵右侧的AHB总线上 所以DMA既…

基于STM32F103C8T6的物联网温湿度光照烟雾监测系统

1、系统组成&#xff1a;STM32F103C8T6最小系统、S8050三极管、有源高电平触发蜂鸣器、ESP8266_01S模块、DHT11温湿度传感器、0.96OLED显示屏、BH1750光照度传感器、MQ2烟雾浓度传感器、LED灯、碳膜电阻&#xff08;300欧&#xff09;、独立按键、排针若干、杜邦线若干、微信小…

立创梁山派学习笔记——GPIO输入检测

按键检测 前言按键的硬件电路BOOT选择复位按键唤醒按键GPIO输入框图软件配置寄存器简介1.端口控制寄存器&#xff08;GPIOx_CTL, xA..I&#xff09;2.端口上拉/下拉寄存器&#xff08;GPIOx_PUD, xA..I&#xff09;3.端口输入状态寄存器&#xff08;GPIOx_ISTAT, xA..I&#xf…

FreeRTOS学习之路,以STM32F103C8T6为实验MCU(序章——浅谈单片机以及FreeRTOS)

学习之路主要为FreeRTOS操作系统在STM32F103&#xff08;STM32F103C8T6&#xff09;上的运用&#xff0c;采用的是标准库编程的方式&#xff0c;使用的IDE为KEIL5。 注意&#xff01;&#xff01;&#xff01;本学习之路可以通过购买STM32最小系统板以及部分配件的方式进行学习…

FreeRTOS创建静态任务教程及所遇到的问题解决方法

静态任务和动态任务的区别 相对于动态任务&#xff0c;静态任务不需要动态分配内存&#xff0c;而是手动指定一个静态内存缓冲区&#xff0c;并在任务生命周期中一直使用该缓冲区。这可以避免动态内存分配时可能出现的内存碎片和内存泄漏问题&#xff0c;提高了系统的稳定性。…

Zephyr单元测试框架:ztest的使用和介绍

目录 简介 Ztest 简介 注意事项 宏函数 ZTEST ZTEST_USER ZTEST_RULE 常用宏函数封装 ztest_test_suite ztest_unit_test ztest_run_test_suite 测试函数 ztest_test_fail ztest_test_pass ztest_test_skip unit_test_noop 断言函数 zassert zassert_unrea…

STM32F4+FreeRTOS+LVGL实现嵌入式快速开发(缝合怪)

极速进行项目开发&#xff0c;只需要懂一款芯片架构一个操作系统一个GUI。各种部件程序全靠抄 &#xff0c;成为究极缝合怪。本文用stm32f407FreeRTOSlvgl演示一些demo。 原文链接&#xff1a;STM32F4FreeRTOSLVGL实现快速开发(缝合怪) lvgl官方的音乐播放器demo&#xff1a;…

STM32 AT24CXX器件地址的理解(IIC通讯协议)

if (EE_TYPE > AT24C16) /* 24C16以上的型号, 分2个字节发送地址 */ { iic_send_byte(0XA0); /* 发送写命令, IIC规定最低位是0, 表示写入 */ iic_wait_ack(); /* 每次发送完一个字节,都要等待ACK */ iic_send_byte(addr >&…

STM32的SPI外设

文章目录 1. STM32 的 SPI 外设简介2. STM32 的 SPI 架构剖析2.1 通讯引脚2.2 时钟控制逻辑2.3 数据控制逻辑2.4 整体控制逻辑 3. 通讯过程4. SPI 初始化结构体详解 1. STM32 的 SPI 外设简介 STM32 的 SPI 外设可用作通讯的主机及从机&#xff0c;支持最高的 SCK 时钟频率为 …

搭建stm32电机控制代码框架(一)

也是挑战一下自己吧&#xff0c;看看多久能够把自己的代码框架搭建起来&#xff0c;今天是5月23日&#xff0c;看看最终搭建成功的时候是什么时候&#xff0c;目标其实这个阶段很简单&#xff0c;电机转一个双闭环FOC就行。 这次的任务是基于stm32f405芯片进行展开&#xff0c…

STM32入门100步(第1步~第5步)

第一章 基础知识与平台建立 第1~2步 是时候学ARM了 1.1 为啥学? 什么是ARM? ARM处理器是英国Acorn有限公司设计的低功耗成本的第一款RISC(精简指令集)微处理器。全称为Advanced RISC Machine。ARM是一种性能出众的32位处理器的内核架构。1991年,一家叫ARM的公司在英国…

【正点原子STM32连载】 第二十章 基本定时器实验 摘自【正点原子】STM32F103 战舰开发指南V1.2

1&#xff09;实验平台&#xff1a;正点原子stm32f103战舰开发板V4 2&#xff09;平台购买地址&#xff1a;https://detail.tmall.com/item.htm?id609294757420 3&#xff09;全套实验源码手册视频下载地址&#xff1a; http://www.openedv.com/thread-340252-1-1.html 第二十…

如何当好硬软件助理工程师——实习周报(一)

如何当好硬软件助理工程师——实习周报 如何当好硬软件助理工程师——实习周报&#xff08;一&#xff09; 文章目录如何当好硬软件助理工程师——实习周报前言一、问题积累1.git 指令2.Coding的使用3.代码中的知识点&#xff08;HAL库、Flash读写&#xff09;a.gpio配置b.fla…

【正点原子STM32连载】第五十七章 USB读卡器(Slave)实验 摘自【正点原子】MiniPro STM32H750 开发指南_V1.1

1&#xff09;实验平台&#xff1a;正点原子MiniPro H750开发板 2&#xff09;平台购买地址&#xff1a;https://detail.tmall.com/item.htm?id677017430560 3&#xff09;全套实验源码手册视频下载地址&#xff1a;http://www.openedv.com/thread-336836-1-1.html 4&#xff…

基于stm32 ESP8266WiFi模块的基本通信

文章目录前言一、什么是ESP8266&#xff1f;二、ESP8266常用指令集三、模块的配置 及 指令的使用四、程序设计前言 本篇涉及到的模块与工具为&#xff1a; 1. ATK-ESP8266wifi模块 2. USB-UART模块 3. 串口调试助手 提取链接&#xff1a;https://pan.baidu.com/s/17xRlpnjp8j-…

STM32实现光照强度传感器(BH1750)(标准库与HAL库实现)

目录 元器件类型选择 接线表设计 实现过程 标准库实现 HAL库实现 代码下载 元器件类型选择 单片机选择&#xff1a;STM32F103 光照度模块&#xff1a;维特智能出品的 BH1750 模块被企业封装过&#xff0c;将采集的光照度数据直接串口显示&#xff0c;显示效果如下&#xf…

EtherCAT主站SOEM -- 25 -- STM32F767-SOEM通过 PV模式(速度模式)控制一个电机转圈圈

EtherCAT主站SOEM -- 25 -- STM32F767-SOEM通过 PV模式(速度模式)控制一个电机转圈圈 0 QT-SOEM视频预览及源代码下载:0.1 QT-SOEM视频预览0.2 QT-SOEM源代码下载0.3 STM32F7-SOEM视频预览0.4 STM32F7-SOEM源代码下载1 程序文件修改替换1.1 allvalue.h1.2 allvalue.c1.3 mot…

OM6650AM支持蓝牙5.1协议栈与2.4GHz私有协议的双模无线连接SoC芯片

OM6650AM是一款超低功耗、同时支持蓝牙5.1协议栈与2.4GHz私有协议的双模无线连接SoC芯片&#xff0c;采用4.0 mm x 4.0 mm QFN32封装&#xff0c;具有丰富的资源&#xff0c;极低的功耗&#xff0c;优异的射频性能&#xff0c;可广泛应用于车载数字钥匙模组、胎压检测、PKE钥匙…

STM32FATFS(未完待续)

注意&#xff0c;本博客适合像我一样的小白&#xff0c;会的不多&#xff0c;但是想快速做些东西&#xff0c;不适合会写驱动的大佬。另外&#xff0c;示例代码中的注释有误&#xff08;从多个项目中移植过来的&#xff0c;未做更改&#xff09;&#xff0c;请不要被误导&#…

一次失败经验---EEPROM读写操作

今天使用IIC操作EEPROM时&#xff0c;写入一个数据后&#xff0c;然后读取出来显示&#xff0c;结果不管写入什么&#xff0c;显示的都是255&#xff1b;调试的时候&#xff0c;单步调试就正常&#xff0c;已运行就是255&#xff1b;经过一系列的尝试&#xff0c;进行连续的读写…

【单片机】单片机的核心思想

&#x1f4ac;推荐一款模拟面试、刷题神器 、从基础到大厂面试题&#xff1a;&#x1f449;点击跳转刷题网站进行注册学习 目录 一、单片机的核心思想 二、单片机核心图 三、上拉电路及应用 排阻的优势 四、单片机的输入输出模式 1、接收外部电压信号 2、向外输出电压信…

RT-Thread SP使用教程

RT-Thread SPI 使用教程 实验环境使用的是正点原子的潘多拉开发板。 SPI从机设备使用的是BMP280温湿度大气压传感器。 使用RT-Thread Studio搭建基础功能。 1. 创建工程 使用RT-Thread Studio IDE创建芯片级的工程。创建完成后&#xff0c;可以直接编译下载进行测试。 2.…

【STM32训练—WiFi模块】第一篇、STM32驱动ESP8266WiFi模块获取网络时间

目录 第一部分、写在前面 1、硬件准备 2、相关的AT指令 3、参考博客 第二部分、电脑串口助手调试ESP8266模块获取网络时间 1、ESP8266获取时间的流程 2、具体实现步骤 第三部分、STM32驱动ESP8266模块获取网络时间 1、实现原理 2、相关代码 第四部分、总结 1、效果展…

【极海APM32替代笔记】低功耗模式配置及配置汇总

【极海APM32替代笔记】低功耗模式配置及配置汇总 文章总结&#xff1a;&#xff08;后续更新以相关文章为准&#xff09; 【STM32笔记】低功耗模式、WFI命令等进入不了休眠的可能原因&#xff08;系统定时器SysTick一直产生中断&#xff09; 【STM32笔记】HAL库低功耗模式配置…

【STM32】OLED-0.96英寸快速使用指南(CubeMX)(HAL库)

main.c 主函数外添加 按照下面的顺序将代码粘贴至main.c中&#xff0c;int main()主函数之外。define空间&#xff1a; #define OLED_GPIO_CLK_ENABLE() __HAL_RCC_GPIOA_CLK_ENABLE() #define GPIOx_OLED_PORT GPIOB #define OLED_SCK_PIN …

Keil5----显示空白符和设置使用空白格表示Tab键

一、Keil5界面----显示空白符 首先打开Keil5-MDK界面&#xff0c;然后按照下面步骤操作。 步骤1&#xff1a;点击 Edit(编辑)&#xff0c;然后点击 Configuration(配置) 步骤2&#xff1a;勾选 View White Spaces(查看空白) 步骤3&#xff1a;显示设置后的结果 具体显示结果分…

SPI FLASH认识

文章目录 基本认知spi flash读写介绍nor flash的特性 基本认知 SPI Serial PeriPheral interface 串行外围设备接口&#xff0c;SPI接口主要引用在EEPRom、Flash、实时时钟、AD转换器、还有数字信号处理器和数字信号解码器之间。SPI总线系统是一种同步串行外设接口&#xff0c;…

藏经阁(八)LCD控制器 ILI9341 解析

文章目录 TFT屏幕介绍LCD控制器ILI9341控制器内部框图ILI9341时序详解RGB565数据格式 TFT屏幕介绍 LCD(Liquid CrystalDisplay)的全称是液晶显示器&#xff0c;是现在用的比较多的手机屏幕材料&#xff0c;特点是价格便宜&#xff0c;使用普及广泛&#xff0c;在显示的时候需要…

Linux设备树:删除节点和属性的方法

[摘要]&#xff1a;本文主要介绍了在设备树中删除节点&#xff08;node&#xff09;和属性&#xff08;property&#xff09;的方法。为了便于理解&#xff0c;笔者先介绍了 dtsi 和 dts 的关系&#xff0c;然后构建了虚拟的需求场景&#xff0c;最终给出示例。 背景知识 设备…

搭建stm32电机控制代码框架(一)——Stm32CubeMx入门

也是挑战一下自己吧&#xff0c;看看多久能够把自己的代码框架搭建起来&#xff0c;今天是5月23日&#xff0c;看看最终搭建成功的时候是什么时候&#xff0c;目标其实这个阶段很简单&#xff0c;电机转一个双闭环FOC就行。 这次的任务是基于stm32f405芯片进行展开&#xff0c…

100篇带你入门——嵌入式系统的软件基础:引领现代科技的关键

嵌入式系统是现代科技中不可或缺的一环&#xff0c;它们以其小巧而强大的特性&#xff0c;悄然地影响着我们的日常生活。而这些嵌入式系统背后的技术奇迹&#xff0c;离不开一个强大的软件基础。在本篇博客中&#xff0c;我们将深入探讨嵌入式系统的软件基础&#xff0c;揭示它…

基础篇010.2 STM32驱动RC522 RFID模块之二:STM32硬件SPI驱动RC522

目录 基础篇010.1 STM32驱动RC522 RFID模块之一&#xff1a;基础知识 1. 实验硬件及原理图 1.1 RFID硬件 1.2 硬件原理图 2. 单片机与RFID硬件模块分析 3. 利用STM32CubeMX创建MDK工程 3.1 STM32CubeMX工程创建 3.2 配置调试方式 3.3 配置时钟电路 3.4 配置时钟 3.5 配…

如何在STM32芯片上同时运行多个任务

在嵌入式系统中&#xff0c;需要同时处理多个任务的需求非常普遍。本文将介绍如何在STM32芯片上实现多任务处理&#xff0c;通过合理的任务调度和管理&#xff0c;充分发挥芯片的性能&#xff0c;提高系统的灵活性和效率。下面介绍两种多任务处理的实现方法 1. 时间片轮转调度…

【明解STM32】中断系统理论基础知识篇之中断寄存器功能原理

目录 一、前言 二、寄存器概述 三、NVIC寄存器组 四、SCB寄存器组 五、中断屏蔽寄存器组 六、总结 一、前言 在之前的STM32的中断系统理论基础知识之基本原理及NVIC中&#xff0c;分别中断的基本原理&#xff0c;中断的管理机制和中断的处理流程进行了较为详细的论述&…

Benewake(北醒) 快速实现 TF02-i-RS485 与电脑通信操作说明

目录 一、前言二、工具准备1. USB-RS485 转接器2. TF02-i-RS4853. 兆信直流电源4.连接线、绝缘胶带、螺丝刀5. PC&#xff1a;Windows 系统6. 串口助手软件 三、连接方式1. USB-RS485 转接板接口说明2. TF02-i-RS485 引脚定义3. 连接图 四、TF02-i-RS485 与电脑通信操作说明1. …

【正点原子STM32连载】 第二十一章 通用定时器实验 摘自【正点原子】STM32F103 战舰开发指南V1.2

1&#xff09;实验平台&#xff1a;正点原子stm32f103战舰开发板V4 2&#xff09;平台购买地址&#xff1a;https://detail.tmall.com/item.htm?id609294757420 3&#xff09;全套实验源码手册视频下载地址&#xff1a; http://www.openedv.com/thread-340252-1-1.html 第二十…

【STM32】知识补充 晶振的基本原理及其应用

【STM32】知识补充 晶振的基本原理及其应用 概述晶振的基本原理晶振的性能参数晶振的分类晶振的应用晶振器在 STM32 上的应用总结 概述 晶振作为现代电子技中的重要组件, 广泛应用于各种电子设备中, 起到稳定时钟信号的作用. 本文将为您解释晶振的基本原理及其在实际应用中的用…

基于正点原子电机实验的pid调试助手代码解析(速度环控制)

这里写目录标题 下位机与PID调试助手传输的原理代码讲解(基于正点原子)解析数据接受和数据发送的底层函数数据接受数据帧格式环形数组以及怎么找到它的帧头位置crc校验 数据发送数据上传函数 通过前两节文章&#xff0c;我已经了解了基本的pid算法&#xff0c;现在在完成了电机…

STM32单片机WIFI智能语音家居窗户窗帘空调灯控制系统OLED显示

实践制作DIY- GC0139-WIFI智能语音家居窗户窗帘空调灯控制系统 基于STM32单片机设计---WIFI智能语音家居窗户窗帘空调灯控制系统 二、功能介绍&#xff1a; 件组成&#xff1a;stm32103 系列单片机&#xff0c;OLED 显示屏模块&#xff0c;语音识别模块&#xff0c;DTH11温湿度…

ESP8266与PCA9685通信I2C

ESP8266与PCA9685通信I2C Talk is cheap, show you code! /*** ESP8266与PCA9685通过I2C协议通信* 功能&#xff1a;控制PCA9685上的16个舵机旋转0-180&#xff0c;串口打印舵机id和角度* 说明&#xff1a;本代码没有使用ESP8266自带的I2C&#xff0c;而是pin模拟输…

基于NE555芯片的简单延时电路和方波信号发生器

简单延时电路 NE555芯片是一种经典的计时器集成电路&#xff0c;常用于电子设计中的定时和延时功能。下面是一个简单的NE555延时电路的详细分析和讲解&#xff1a; NE555芯片是一个多功能的集成电路&#xff0c;主要由比较器、RS触发器、RS锁存器以及输出驱动器等组成。它可以工…

STM32单片机OLED语音识别路灯台灯控制系统人检测亮度调节

实践制作DIY- GC0143-OLED语音识别路灯台灯控制系统 基于STM32单片机设计---OLED语音识别路灯台灯控制系统 二、功能介绍&#xff1a; 电路&#xff1a;STM32F103C系列最小系统串口语音识别模块LED灯板1个红外传感器OLED显示器1个手动自动模式键1个开关按键 1.有两个模式1个手…

STM32 HAL库 使用 USB HID 配置

STM32 HAL库 HID 配置 STM32 CubeMax 配置修改USB描述符修改HID设备PID VID修改HID报告间隔修改USB报告长度发送和接收发送接收 STM32 CubeMax 配置 我这里使用的是HS接口但使用的是内部FS核。 修改USB描述符 在 usbd_custom_hid_if.c 的 CUSTOM_HID_ReportDesc_HS 或 CUSTO…

STM32--ESP8266物联网WIFI模块(贝壳物联)--温湿度数据上传服务器显示

本文适用于STM32F103C8T6等MCU&#xff0c;其他MCU可以移植&#xff0c;完整资源见文末链接 一、简介 随着移动物联网的发展&#xff0c;各场景下对于物联控制、数据上传、远程控制的诉求也越来越多&#xff0c;基于此乐鑫科技推出了便宜好用性价比极高的wifi物联模块——ESP…

飞腾UEFI配置GPIO—飞腾FT2000/4 (D2000/8) GPIO引脚配置及使用

文章目录 一、FT2000/4 GPIO介绍GPIO 接口信号说明专用GPIO(GPIO0_A1、GPIO0_A7/SCI)介绍二、UEFI下配置GPIO相关寄存器GPIO相关相关引脚功能配置说明UEFI配置GPIO相关代码UEFI源代码解释一、FT2000/4 GPIO介绍 GPIO 接口信号说明 FT-2000/4 提供两个 GPIO(General Purpose …

P2[1-2]STM32简介(stm32简介+ARM介绍+片上外设+命名规则+系统结构+引脚定义+启动配置+最小系统电路+实物图介绍)

1.1 stm32简介 解释:ARM是核心部分,程序的内核,加减乘除的计算,都是在ARM内完成。 智能车方向:循迹小车,读取光电传感器或者摄像头数据,驱动电机前进和转弯。 无人机:用STM32读取陀螺仪加速度计的姿态数据,根据控制算法控制电机的速度,从而保证飞机稳定飞行。 机…

FreeRTOS 线程安全的printf输出(使用STM32F103)

https://blog.csdn.net/baidu_23187363/article/details/53811144 环境 STM32F103开发板 HAL库&#xff08;标准库也没事换个串口输出函数就行&#xff09; MDK5.28 STM32CubeMX 前言 原本直接使用串口输出来debug调试的&#xff0c;但是添加FreeRTOS之后出现乱码的现象。所…

初出茅庐的小李博客之STM32F103实现CAN通信

CAN通信基础知识 参考上一篇博客 https://editor.csdn.net/md/?articleId131026450 原理图 转换芯片是 TJA1050 代码实现思路 发送思路&#xff1a;定时发送 按键测试发送 接收思路&#xff1a;中断接收 CAN代码实现 第一步 定义了两个全局变量TxMessage和RxMessage&am…

STM32F105RBT6 使用定时器TIM3输出PWM波

1. TIM3的GPIO口&#xff0c;查阅STM32F105RBT6 数据手册&#xff0c;TIM3的4通道用的是PB1 2. 初始化GPIO口和定时器TIM3 2.1 相关函数 RCC_APB1PeriphClockCmd、GPIO_Init、TIM_TimeBaseInit、TIM_OC4Init、TIM_OC4PreloadConfig、NVIC_Init、TIM_ITConfig、TIM_Cmd、 voi…

初出茅庐的小李博客之常见字符串函数使用

C语言字符数组与字符串数组 在C语言中&#xff0c;字符数组和字符串数组实际上是同一种类型。字符串是由字符组成的字符数组&#xff0c;通常以空字符 ‘\0’ 结尾。C语言中的字符串是一种常见的数据类型。我们可以通过两种方式定义字符数组跟字符串数组 char charArray[10];…

011 - STM32学习笔记 - 串口通讯

011 - STM32学习笔记 - 串口通讯 关于串口的相关概念各位可以在网上查一下相关介绍&#xff0c;这里直接开始学习STM32上的串口配置和通讯测试了 在学习相关寄存器之前&#xff0c;先看一下USART的功能框图 1、USART引脚 引脚名称引脚功能TX数据发送端RX数据接收端SW_RX单线…

基于STM32的重力感应售货机系统设计

一、项目介绍 随着智能物联网技术的不断发展&#xff0c;人们的生活方式和消费习惯也正在发生改变。如今越来越多的人习惯于在线购物、自助购物等新型消费模式&#xff0c;因此智能零售自助柜应运而生。 本项目设计开发一款基于STM32主控芯片的智能零售自助柜&#xff0c;通过…

STM32-HAL库串口DMA空闲中断的正确使用方式+解析SBUS信号

STM32-HAL库串口DMA空闲中断的正确使用方式解析SBUS信号 一. 问题描述二. 方法一——使用HAL_UART_Receive_DMA三. 方法二——使用HAL_UARTEx_ReceiveToIdle_DMA四. 方法三——使用HAL_UARTEx_ReceiveToIdle_IT&#xff08;不使用DMA&#xff09;五. 总结 一. 问题描述 能够点…

【STM32训练—WiFi模块】第二篇、STM32驱动ESP8266WiFi模块获取天气

目录 第一部分、前言 1、获取心知天气API接口 2、硬件准备 第二部分、电脑串口助手调试WIFI模块获取天气 1、ESP8266获取天气的流程 2、具体步骤 第三部分、STM32驱动ESP8266模块获取天气数据 1、天气数据的解析 1.1、什么函数来解析天气数据&#xff1f; 2.1、解析后…

什么是EBNF?并举例介绍

EBNF&#xff08;Extended Backus-Naur Form&#xff09;是一种扩展的Backus-Naur形式&#xff0c;是一种用于描述上下文无关文法&#xff08;CFG&#xff09;的元语言。 EBNF用于定义编程语言、数据格式和其他形式的语法。它使用一些扩展的符号来描述语法规则&#xff0c;包括…

FreeRTOS实时操作系统(三)任务挂起与恢复

系列文章目录 FreeRTOS实时操作系统&#xff08;一&#xff09;RTOS的基本概念 FreeRTOS实时操作系统&#xff08;二&#xff09;任务创建与任务删除&#xff08;HAL库&#xff09; 文章目录 系列文章目录前言任务挂起与恢复普通挂起恢复实例中断恢复实例 前言 继续跟着正点…

基于OpenMV的自动驾驶智能小车模拟系统

一、项目简介 基于机器视觉模块OpenMV采集车道、红绿灯、交通标志等模拟路况信息&#xff0c;实现一辆能车道保持、红绿灯识别、交通标志识别、安全避障以及远程WiFi控制的多功能无人驾驶小车。 赛道规格&#xff1a; 1、编程所需软件&#xff1a; OpenMV&#xff1a;使用Op…

STM32G0+EMW3080+阿里云飞燕平台实现单片机WiFi智能联网功能(三)EMW3080完成配网,EMW3080连接到阿里云飞平台

项目描述&#xff1a;该系列记录了STM32G0EMW3080实现单片机智能联网功能项目的从零开始一步步的实现过程&#xff1b;硬件环境&#xff1a;单片机为STM32G030C8T6&#xff1b;物联网模块为EMW3080V2-P&#xff1b;网联网模块的开发板为MXKit开发套件&#xff0c;具体型号为XCH…

Benewake(北醒) 快速实现TFmini-i-RS485与电脑通信操作说明

目录 一、前言二、工具准备1. USB-RS485 转接器2. TFmini-i-RS4853. 兆信直流电源4.连接线、绝缘胶带、螺丝刀5. PC&#xff1a;Windows 系统6. 串口助手软件 三、连接方式1. USB-RS485 转接板接口说明2. TFmini-i-RS485 引脚定义3. 连接图 四、TFmini-i-RS485 与电脑通信操作说…

STM32通过esp8266连接WiFi接入MQTT服务器

上文我们讲到如何搭建本地MQTT服务器&#xff0c;现在介绍如何通过stm32连接MQTT 一.首先我们初始化esp8266这里我们使用的是USART4与其通信代码如下 void UART4_Init(uint32_t bound) {GPIO_InitTypeDef GPIO_InitStructure;USART_InitTypeDef USART_InitStructure;RCC_APB1…

小师兄1995个人博客总目录

文章目录前言一、直流有刷电机系列文章二、直流无刷电机系列文章三、MBD系列文章四、硕士期间系列文章总结前言 该博客作用于记录自己的学习过程&#xff0c;不定时更新。博主毕业于控制科学与工程专业&#xff0c;硕士期间接触实验室电力电子、电机控制以及电网等相关项目&am…

STM32 | 利用STM32CubeMX初始化一个STM32工程,图有点多,因为超详细(以STM32G431RBT6举例)

STM32CubeMX部分 KEIL5部分 STM32CubeMX部分 点击进入STM32CubeMX应用&#xff0c;见如下窗口&#xff0c;点击红框中的蓝色按钮&#xff1a; 在红框中输入自己STM32的具体芯片型号&#xff08;笔者这里以STM32G431RBT6举例&#xff09;&#xff0c;查找出来后&#xff0c;在黄…

四轴异常炸机分析讨论集锦

四轴异常炸机分析讨论集锦0. 异常 & 炸机 汇总目的1. AT9SPro R12DSM 300米信号丢失炸机2. PID参数异常 起飞“Takeoff Runaway”炸机3. 接收机信号干扰 炸机4. GPS救援 RC控制信号丢失炸机5. 遥控器关机测试GPS救援 紧张炸机6. 桨叶没有锁紧 飞行途中打滑炸机7. GPS飞行途…

基于stm32单片机语音识别控制小车机器人

资料编号&#xff1a;067 下面是相关功能视频演示&#xff1a; 67-基于stm32单片机语音识别控制小车机器人&#xff08;实物图源码原理图全套资料&#xff09;单片机采用stm32&#xff0c;可以通过语音控制小车前后左右行驶&#xff0c;控制比较灵敏&#xff0c;程序中文注释&…

基于STM32G431嵌入式学习笔记——五、NVIC中断(以串口UART中断为例)

一、基础知识 1.专业术语 2.NVIC简介 ①在这里要注意&#xff0c;中断控制是分级处理的 ②是否请求中断是中断源控制的。 ③是否响应中断是响应方控制的。 ④以外部中断为例&#xff0c;外部中断请求顺序就是首先从请求的外部设备中选出优先级最高的一个设备待中断&#xff0c…

STM32实战总结:HAL之IAP

我们学习单片机一般都是从51开始的&#xff0c;51单片机烧录程序通常是使用烧录软件如STC-ISP。这种方式&#xff0c;通过串口连接单片机&#xff0c;选择一个合适的波特率就可以烧录了。 后来学习STM32&#xff0c;编程时使用KEIL软件自带的下载按钮就能下载程序&#xff0c;方…

AIR32F103(五) FreeRTOSv202112核心库的集成和示例代码

目录 AIR32F103(一) 合宙AIR32F103CBT6开发板上手报告AIR32F103(二) Linux环境和LibOpenCM3项目模板AIR32F103(三) Linux环境基于标准外设库的项目模板AIR32F103(四) 27倍频216MHz,CoreMark跑分测试AIR32F103(五) FreeRTOSv202112核心库的集成和示例代码 关于 先贴一下已经集…

OneNet一次上传多个数据,在可视化页面解析显示

一、OneNet是什么&#xff1f; OneNET-中国移动物联网开放平台是由中国移动打造的PaaS物联网开放平台。平台能够帮助开发者轻松实现设备接入与设备连接&#xff0c;提供综合性的物联网解决方案&#xff0c;实现物联网设备的数据获取&#xff0c;数据存储&#xff0c;数据展现。…

【FLASH存储器系列七】NAND FLASH之ONFI规范

从本篇开始分享NAND Flash相关内容。 当下NAND flash有两个主要的标准阵营&#xff0c;一是由英特尔、镁光牵头的ONFI规范&#xff0c;另一个是由三星、东芝发起的toggle标准。先从ONFI标准开始分享。 2006年&#xff0c;随着手机、MP3播放器、U盘的需求量逐渐增大&#xff0c;…

【单片机】数码管的使用

目录 一、数码管的类型 二、数码管的工作原理 1、数码管段选的原理 2、数码管位选的原理 三、数码管的静态显示 1、控制单个数码管 四、数码管的动态显示 五、数码管倒计时程序 一、数码管的类型 数码管可以分为两种类型&#xff0c;共阴极和和共阳极。 共阴极&#x…

使用JLINK给GD32下载程序

使用JLINK给GD32下载程序关于GD32单片机需要的工具和软件包①Jlink仿真器一个②相关软件包下载准备①选择好芯片②在DEBUG中选择JLINK下载现象总结关于GD32单片机 GD32是兆易创新基于Arm Cortex-M内核和RISC-V内核&#xff0c;推出的32位通用微控制器&#xff0c;对比了下两者…

蓝桥杯嵌入式(G4系列):定时器输出可调PWM

前言&#xff1a; 蓝桥杯定时器输出PWM的考点在历届真题中的出现次数较多&#xff0c;而且之前关于STM32的学习&#xff0c;我对于使用STM32Cubemx配置PWM的方式确实不是很熟悉&#xff0c;这里简单记录一下自己的学习过程。 STM32Cubemx配置部分&#xff1a; 这里我们是改编真…

沁恒CH32V307单片机入门(01):基础说明与流程体验

文章目录目的基础说明芯片介绍资料与工具开发环境流程体验开发调试下载总结目的 工作这几年单片机主要就接触过 Atmel、Renesas、Microchip、ST 这些厂家的&#xff0c;最近几年因为内部外部的各种因素单片机的价格和供应都挺不稳定的&#xff0c;将来会发生什么也不好说。另外…

【STM32】【HAL库】遥控关灯3 遥控器

相关连接 【STM32】【HAL库】遥控关灯0 概述 【STM32】【HAL库】遥控关灯1主机 【STM32】【HAL库】遥控关灯2 分机 【STM32】【HAL库】遥控关灯3 遥控器 需求 硬件遥控器 控制一个灯的开关(2个按键),发射RF433或红外 使用纽扣电池供电 一键启动,低待机功耗 硬件设计 一键…

STM32单片机红外遥控

红外遥控接口电路STM32单片机红外遥控程序源代码#include "sys.h"#define LED_RED PBout(12) //红色发光二极管控制管脚初始化PB12#define LED_GREEN PBout(13) //绿色发光二极管控制管脚初始化PB13#define LED_YELLOW PBout(14) //黄色发光二极管控制管脚初始化PB14…

stm32f407探索者开发板(十六)——串行通信原理讲解-UART

文章目录一、串口通信接口背景知识1.1 处理器与外部设备通信的两种方式1.2 按照数据传送方向1.3 是否带有时钟信号1.4 常见的串行通信接口二、STM32F4串口通信基础2.1 STM32的串口通信接口2.2 UART异步通信方式引脚连接方法2.3 UART异步通信方式引脚(STM32F407ZGT6)2.4 UART异步…

【手把手一起学习】(六) Altium Designer 20 STM32核心板Demo----PCB设计

1 PCB设计 PCB设计是制作STM32核心板的关键步骤&#xff0c;其关系到最终生产厂家制作的电路板能否正常使用&#xff0c;PCB设计包括布局&#xff0c;裁板&#xff0c;布线&#xff0c;覆铜&#xff0c;DRC检查等&#xff0c;其中要求、细节、技巧比较多&#xff0c;以后会更详…

08_FreeRTOS列表和列表项讲解

目录 列表和列表项的简介 列表 列表项 迷你列表项 列表和列表项的关系 列表相关API函数介绍 初始化列表vListInitialise函数详解 列表项的初始化函数vListInitialiseItem函数 列表项的插入vListInsert函数 列表项末尾插入vListInsertEnd函数 列表项的删除函数uxLis…

74H595

74HC595详解 工作电压2-6V&#xff0c;推荐5V。 14脚串行输入&#xff1a;595的数据来源只有这一个口&#xff0c;一次只能输入一个位&#xff0c;那么连续输入8次&#xff0c;就可以积攒为一个字节了。 13脚OE 输出使能控制脚&#xff1a;如果它不工作&#xff0c;那么595的…

STM32--SPI、I2C、CAND等常用通信外设总线概括

1. SPI SPI是串行外设接口&#xff08; Serial Peripheral Interface&#xff09;的缩写。 SPI&#xff0c;是一种高速的&#xff08;之前做学传输比特115200 112k, 而SPI传输速度为10Mbps&#xff09;&#xff0c;全双工&#xff0c;同步的通信总线&#xff0c;并且在芯片的管…

STM32开发(二)CubeMX详解构建基本框架

文章目录STM32 CubeMX背景STM32 CubeMX基本配置选择芯片型号新建工程配置系统时钟、调试口、GPIO配置时钟配置配置GPIO &#xff08;LED为例&#xff09;GENERATE CODE 生成代码使用工具&#xff1a;CubeMX STM32 CubeMX背景 玩过STM32单片机的朋友都知道&#xff0c;以前的时…

如何判断反馈电路的类型-反馈类型-三极管

如何判断反馈电路的类型 反馈电路类型很多&#xff0c;可根据不同的标准分类&#xff1a; ①根据反馈的极性分&#xff1a;有正反馈和负反馈。 ②根据反馈信号和输出信号的关系分&#xff1a;有电压反馈和电流反馈。 ③根据反馈信号和输入信号的关系分&#xff1a;有串联反…

嵌入式开发--RS-485通讯的问题

嵌入式开发 RS-485通讯的问题RS-485说明接口芯片硬件连接CubeMX设置代码编写引脚定义使能串口中断函数发送数据接收数据有一个问题&#xff0c;多收了一个数数据线上的波形问题分析问题解决RS-485说明 RS-485一般简称485总线&#xff0c;是最常用的工业总线之一&#xff0c;一…

第九节 使用设备树实现RGB 灯驱动

通过上一小节的学习&#xff0c;我们已经能够编写简单的设备树节点&#xff0c;并且使用常用的of 函数从设备树中获取我们想要的节点资源。这一小节我们带领大家使用设备树编写一个简单的RGB 灯驱动程序&#xff0c;加深对设备树的理解。 实验说明 本节实验使用到STM32MP1 开…

10-FreeRTOS堆栈溢出

1-堆栈溢使用情况和溢出检查 1.1堆栈的使用情况 如果使用xTaskCreate()创建任务&#xff0c;那么作为任务堆栈的内存将自动从FreeRTOS堆中分配&#xff0c;并通过传递给xTaskCreate() API函数的参数进行内存划分。如果使用xTaskCreateStatic()创建任务&#xff0c;那么应用程…

34_DAC原理及数模转换实验

目录 数模转换原理 DAC模块框图 事件选择控制数字模拟转换 DAC转换 DAC数据格式 选择DAC触发 DAC输出电压计算 硬件连接 DAC配置步骤 实验源码 数模转换原理 STM32的DAC模块(数字/模拟转换模块)是12位数字输入,电压输出型的DAC。DAC可以配置为8位或12位模式,也可以与…

毕业设计 STM32单片机智能WiFi天气助手 - 物联网 单片机

文章目录0 前言1 设计内容2 软件设计3 关键代码4 最后0 前言 &#x1f525; 这两年开始毕业设计和毕业答辩的要求和难度不断提升&#xff0c;传统的毕设题目缺少创新和亮点&#xff0c;往往达不到毕业答辩的要求&#xff0c;这两年不断有学弟学妹告诉学长自己做的项目系统达不…

【踩坑笔记】STM32 HAL库+泥人W5500模块

1.HAL库与标准库转换 泥人提供的模块收发程序 HAL库下的收发&#xff08;这里只提供部分接口&#xff0c;其它同样改发&#xff09;&#xff1a; 下边这条是标准库自带的函数&#xff0c;这里只用来和HAL库转换 改完之后&#xff0c;想验证自己的驱动改好没有&#xff0c;…

正交编码器溢出处理

文章目录1.正交编码器1.1 参数特性1.2 应用范围2.正交编码器使用2.1 溢出问题2.2 中断模式2.3 循环模式延伸1.正交编码器 正交编码器一般指的是增量式光栅&#xff08;磁栅&#xff09;编码器&#xff0c;通常有三路输出信号&#xff0c;A相、B相、Z相&#xff0c;俗称ABZ编码器…

IIC信号为什么要加上拉电阻

IIC是一个两线串行通信总线&#xff0c;包含一个SCL信号和SDA信号&#xff0c;SCL是时钟信号&#xff0c;从主设备发出&#xff0c;SDA是数据信号&#xff0c;是一个双向的&#xff0c;设备发送数据和接收数据都是通过SDA信号。 在设计IIC信号电路的时候我们会在SCL和SDA上加一…

【FLASH存储器系列十】Nand Flash芯片使用指导之一

目录 1.1 芯片简介 1.2 功能框图 1.3 存储结构 1.4 信号定义 1.5 双平面&#xff08;plane&#xff09;操作 1.6 Die间交错操作 1.7 错误管理 今天以MT29F8G08AJADAWP芯片为例&#xff0c;说明nand flash的操作方法。 1.1 芯片简介 这是一款镁光的容量8Gb&#xff0c;总…

10、中断系统概述

目录 0x01、异常类型 0x0001、系统异常清单 0x0002、外部中断清单 0x02、NVIC 简介 0x0001、NVIC 寄存器 0x0002、NVIC 中断配置固件库 0x03、优先级 0x0001、优先级定义 0x0002、优先级分组 0x0003、中断编程 0x01、异常类型 STM32F103 在内核水平上搭载了一个异常响…

Keil MDK 配置详解与调试技术

工程配置介绍① 通用配置选项&#xff1b;② 操作系统选项&#xff1b;③ 勾选后可以减小镜像尺寸&#xff0c;加快运行速度&#xff1b;④ 浮点配置&#xff1b;⑤ 加载简要配置&#xff0c;分散加载情况需要配置&#xff1b;编译器输出选项&#xff1b;可执行…

【ESP8266与ESP12E 电机拓展板握手】

【ESP8266与ESP12E 电机拓展板握手】 1. 前言2. 材料3. 工作原理3.1 板载功能3.2 引脚接口定义图3.3 产品技术规格4. 方案4.1 普通马达接线图4.2 42步进电机接线图5. 相关代码5.1 直流电机的管理代码5.2 步进电机管理代码6. 应用7. 来源1. 前言 ESP12E Motor Shield 是一块扩展…

STM32 CRC计算单元(循环冗余校验)

STM32第三篇【1】STM32 CRC计算单元【2】STM32 CRC简介【3】STM32 CRC主要特性【4】STM32 CRC功能描述【5】STM32 CRC寄存器【6】STM32 数据寄存器&#xff08;CRC_DR&#xff09;【7】STM32 独立数据寄存器&#xff08;CRC_IDR&#xff09;【8】STM32 控制寄存器&#xff08;C…

藏经阁(五)温湿度传感器 SHT3x-DIS 手册 解析

文章目录芯片特性芯片内部框图芯片引脚定义芯片温湿度范围芯片寄存器以及时序讲解信号转换公式芯片特性 湿度和温度传感器完全校准&#xff0c;线性化温度补偿数字输出供电电压范围宽&#xff0c;从2.4 V到5.5 VI2C接口通讯速度可达1MHz和两个用户可选地址典型精度 2% RH和 0.…

基于STM32结合CubeMX学习Free-RT-OS的源码之深入学习软件定时器实现过程

概述 关于在CUBEMX上的配置 介绍 软件定时器基于硬件定时器实现。 软件定时器允许设置一段时间&#xff0c;当设置的时间到达之后就执行指定的功能函数&#xff0c;被定时器 调用的这个功能函数叫做定时器的回调函数。回调函数的两次执行间隔叫做定时器的定时周期&#xff0c;…

STM32F103的FSMC模块驱动LCD屏幕

以下内容为对正点原子的STM32F103STM32F103STM32F103精英板的FSMCFSMCFSMC模块驱动LCDLCDLCD屏幕例程的学习。做一个记录来加强对模块的认知。 FSMCFSMCFSMC的全称是FlexiblestaticmemorycontrollerFlexible\quad static\quad memory\quad controllerFlexiblestaticmemory…

51单片机点亮LED的5中方法(由简单到困难)

本文将介绍用各种不同的方法和算法点亮51单片机LED的程序&#xff0c;包括详细的注释和说明。 方法1&#xff1a;使用GPIO口点亮LED #include<reg52.h> //头文件 sbit LEDP1^0; //定义LED void main() {LED0; //LED亮&#xff0c;输出低电平 }以上代码使用了P1口的第0位…

(STM32)从零开始的RT-Thread之旅--SPI驱动ST7735(1)

上一篇&#xff1a; (STM32)从零开始的RT-Thread之旅--GPIO 我使用的开发板是WeAct的H743板子&#xff0c;板子带一个0.96的SPI驱动的LCD&#xff0c;给的有现成的测试用例&#xff0c;看源码应该是ST的工程师写的ST7735的驱动&#xff0c;打算把这个驱动直接拿到RTT工程里面使…

什么是HAL库和标准库,区别在哪里?

参考文章https://blog.csdn.net/u012846795/article/details/122227823 参考文章 https://zhuanlan.zhihu.com/p/581798453 STM32的三种开发方式 通常新手在入门STM32的时候&#xff0c;首先都要先选择一种要用的开发方式&#xff0c;不同的开发方式会导致你编程的架构是完全…

stm32平衡小车(2)-----编码器电机驱动

前言&#xff1a;之前做arduino小车的时候使用的是L298N电机&#xff0c;没有用过编码器&#xff0c;这次第一次用编码器&#xff0c;还是比较懵圈&#xff0c;记录一下学的整个过程。 1.编码器的简介 霍尔编码器是一种通过磁电转换将输出轴上的机械几何位移量转换成脉冲或数字…

GD32F30x系列ADC源码,对初学者参考价值巨大,(非常详细篇)万字源码

GD32F30x系列ADC源码【1】adc_reg.h [参考]【2】ctrl_adc.h [重点]【3】gd32f30x_dma_reg.h [参考]【4】mon_adc.h [参考 1]【5】rcu.h [参考]【6】ctrl_adc.c [重要]【7】mon_adc.c [参考1]【8】main.c [参考2]这篇文章必须配合这个连接文件一起看&#xff0c;即ADC手册 嵌入式…

十、STM32端口复用重映射

目录 1.什么是端口复用&#xff1f; 2.如何配置端口复用&#xff1f; 3.什么是端口重映射 &#xff1f; 4.什么是部分重映射和完全重映射&#xff1f; 5.重映射的配置过程 1.什么是端口复用&#xff1f; STM32有很多外设&#xff0c;外设的外部引脚与GPIO复用。也就是说一…

基于RK3399+STM32+PID的四轴飞行器跟踪与控制系统设计

系统硬件的总体方案设计 要设计一款具有跟踪功能且飞行稳定的四轴飞行器跟踪系统&#xff0c;首先要保证系 统硬件平台的功能稳定。系统各模块具有不同功能&#xff0c;所以需要根据各模块功能与 性能&#xff0c;进行芯片的选取与硬件电路设计&#xff0c;使系统在经济性、生产…

什么是BOM?与焊盘不匹配,怎么办?

什么是BOM&#xff1f; 简单的理解就是&#xff1a;电子元器件的清单&#xff0c;一个产品由很多零部件组成&#xff0c;包括&#xff1a;电路板、电容、电阻、二三极管、晶振、电感、驱动芯片、单片机、电源芯片、升压降压芯片、LDO芯片、存储芯片、连接器座子、插针、排母、…

K_A12_006 基于STM32等单片机驱动BH1750模块 串口与OLED0.96双显示

K_A12_006 基于STM32等单片机驱动BH1750模块 串口与OLED0.96双显示一、资源说明二、基本参数参数引脚说明三、驱动说明时序对应程序:四、部分代码说明1、接线引脚定义1.1、STC89C52RCBH1750模块1.2、STM32F103C8T6BH1750模块五、基础知识学习与相关资料下载六、视频效果展示与程…

Keil5 开发 STM32 工程创建

1 创建新工程 打开Keil5软件&#xff0c;点Project菜单的的下来选项New uVision Project…&#xff0c;然后选择工程文件夹放置的目录&#xff0c;新建项目目录&#xff0c;如&#xff1a;LED闪烁 2 选择开发板型号 点击OK后&#xff0c;会弹出配置运行时环境的选项框&…

W800系列|ST-LINK|STM32最小版|HEX文件|CKLINK|DebugServer|学习(3-1):自制cklink调试工具

硬件准备 1.stm32最小系统板&#xff1a;stm32c8t6 2.stlink下载器&#xff1a;stlink v2版本 软件安装&#xff1a; 1、STM32 ST-LINK Utility&#xff08;官网下载&#xff09; 2、csky IDE: 剑池CDK集成开发环境V2.18.2 https://occ.t-head.cn/community/download?id…

【STM32小项目】-- 基于STM32芯片车载货物搬运系统研究

文章目录基于STM32芯片车载货物搬运系统研究项目中的分工资料相关文书材料基于STM32芯片车载货物搬运系统研究 项目中的分工 1、原理图&#xff0c;PCB等硬件相关设计以及项目实践总结 2、机械臂在柔性上通过算法和结构进行优化 3、基于PYTHON处理的OPENMV摄像头模块 4、P…

使用RP2040自制的树莓派pico—— [6/100] 使用按键控制LED灯的亮灭

目录 按键消抖软件消抖定时器消抖程序延时消抖 main.py 按键消抖 按键的消抖是指使用软件或硬件方法去除按钮震动或松动引起的多次开关状态变动&#xff0c;使得程序只识别为一次开关状态变动。下面对软件消抖和硬件消抖做一下介绍&#xff1a; 软件消抖 软件消抖是指在程序…

STM32单片机WIFI物联网厨房燃气安全系统超声波人员检测MQ4燃气报警

实践制作DIY- GC0140-WIFI物联网厨房燃气安全系统 基于STM32单片机设计---WIFI物联网厨房燃气安全系统 二、功能介绍&#xff1a; 硬件组成&#xff1a;STM32F103C系列最小系统继电器模拟阀门MQ-4然气传感器HSR04超声波测距LCD1602显示器ESP8266-WIFI模块蜂鸣器多个按键 1.有…

STM32F103高级定时器死区时间计算

官方数据手册的说明不容易看懂&#xff0c;举的例子与我的应用场合也不一致&#xff0c;我使用的是72MHz的晶振&#xff0c;讲一讲我的死区时间是怎么算出来的。 DT死区时间&#xff1b; TDTS为系统时钟周期时长&#xff1b; TDTG为系统周期时长乘以倍数&#xff0c;这个值用于…

stm32读取BH1750光照传感器

stm32读取BH1750光照传感器 一.序言二.BH1750指令三.IIC协议四.代码实例4.1 bh1750.c源文件4.2 bh1750.h头文件 一.序言 BH1750是用IIC协议进行数据传输的。有SCL,SDA&#xff0c;VCC,GND四根线。下图是原理图 二.BH1750指令 我们先看芯片手册的操作指令&#xff08;下图&a…

STM32_H7

问题 DMA is not working on STM32H7 devices Ethernet not working on STM32H7x3 关于STM32H743 recv()数据错误的问题分析 H7使用DMA注意事项 注意发送或者接收缓存的字节对齐问题&#xff0c;一般是要求4字节对齐注意DMA和外设是否可访问发送或者接收缓存所在SRAM空间注意…

FreeRTOS实时操作系统(二)任务创建与任务删除(HAL库)

文章目录 前言系统配置任务创建动态任务创建删除实践静态任务创建删除实践 前言 接着学习正点原子的FreeRTOS教程&#xff0c;涉及到一些详细的系统内文件代码 系统配置 可以通过各种的宏定义来实现我们自己的RTOS配置&#xff08;在FreeRTOSconfig.h&#xff09; “INCLUDE…

STM32--基于固件库(Library Faction)的led灯点亮

目录 一、STM32芯片的简单介绍 二、基于固件库&#xff08;Library Faction&#xff09;的led灯点亮 这是一个学习stm32的开端&#xff0c;我们由简入难&#xff0c;之前学过C51/52或是其他型号的一般都是从led开始&#xff0c;也就是简单的输入输出端口的应用。&#xff08;想…

Cortext-M3系列:调试组件(9)

1、调试组件简介 在 CM3 中有很多调试组件&#xff0c;使用它们可以执行各种调试功能&#xff1a;断点、数据观察点、闪存地址重载以及各种跟踪等。软件开发人员也许永远无需了解调试组 的细节&#xff0c;因为它们通常只是由调试器及其周边工具使用的。 本文对每种调试组件做一…

u-boot与linux内核间的参数传递过程分析

u-boot与linux内核间的参数传递过程分析 转载地址&#xff1a;http://blog.chinaunix.net/uid-20672257-id-2395102.html U-BOOT与linux kernel通信: struct tag https://www.cnblogs.com/embedded-tzp/p/4449143.html

STM32 | 分享自定义协议的一些典型例子

1024G 嵌入式资源大放送&#xff01;包括但不限于C/C、单片机、Linux等。关注微信公众号【嵌入式大杂烩】&#xff0c;回复1024&#xff0c;即可免费获取&#xff01; 上次分享的《分享一个很酷的上位机软件》中&#xff0c;有如下协议&#xff1a; 有位读者朋友问数据为什么要…

工具 | 分享一个很酷的上位机软件

1024G 嵌入式资源大放送&#xff01;包括但不限于C/C、单片机、Linux等。关注微信公众号【嵌入式大杂烩】&#xff0c;回复1024&#xff0c;即可免费获取&#xff01; 本次分享一个很酷的上位机软件——伏特加。 伏特加简介 伏特加是一款通用的数据调试工具&#xff0c;它让图形…

作品 | 基于STM32的智能天气预报系统(源码开源)

1024G 嵌入式资源大放送&#xff01;包括但不限于C/C、单片机、Linux等。关注微信公众号【嵌入式大杂烩】&#xff0c;回复1024&#xff0c;即可免费获取&#xff01; 1、作品介绍 首先&#xff0c;看一下作品的演示视频&#xff1a;演示视频 温馨提示&#xff1a;因为是进行…

STM32 | 在SRAM、FLASH中调试代码的配置方法

1024G 嵌入式资源大放送&#xff01;包括但不限于C/C、单片机、Linux等。关注微信公众号【嵌入式大杂烩】&#xff0c;回复1024&#xff0c;即可免费获取&#xff01; STM32的FLASH擦写次数有限&#xff08;大概为1万次&#xff09;&#xff0c;所以为了延长FLASH的使用时间&am…

一文掌握步进电机控制

前言 今天讲讲步进电机的控制原理。之前因为项目需要用到步进电机&#xff0c;这次对步进电机的相关知识做介绍&#xff0c;以及我个人的理解&#xff0c;并附上驱动源码。 一、什么是步进电机 步进电机是一种将电脉冲信号转换成相应角位移或线位移的电动机。 每输入一个脉冲…

Encountered a sharing violation while accessing

今天用Keil5编译的时候突然出现的这个问题&#xff0c;以前也没遇到过。 1、问题&#xff1a; 编译报错&#xff1a;Encountered a sharing violation while accessing 中文原意&#xff1a;访问时遇到共享冲突 2、造成结果&#xff1a; 简单描述一下图片中的报错&#xff1a;…

STM32之I2C的理解及运用

文章目录前言一、软件I2C与硬件I2C的区别二、I2C的主从模式三、资源连接前言 I2C通信协议相较于USART、SPI通信协议&#xff0c;其引脚较少&#xff0c;硬件连接简单&#xff0c;可扩展能力强&#xff0c;被多用于系统内多个IC之间的通信。 我们可以将I2C分作协议层以及物理层…

Vscode EIDE+Cortex Debug搭建STM32开发仿真环境

Embedded IDE 特色 支持8051、AVR、STM8、Cortex-M[0/0/3/4/7]、RISC-V、Universal-Gcc项目开发支持导入 KEIL 项目&#xff08;仅限 KEIL 5 及更高版本&#xff09;支持安装标准 KEIL 芯片支持包&#xff08;仅适用于 Cortex-M 项目&#xff09; 为快速启动项目提供丰富的项…

推挽电路应用

1. 推挽电路&#xff0c;常用上N下P型 2. 输出与输入同相&#xff1a; 输入低电平&#xff0c;输出低电平&#xff0c;输出受输入限制&#xff0c;输入输出同相 输入高电平&#xff0c;输出低电平&#xff0c;输出受输入限制&#xff0c;输入输出同相 3. N管烧坏原因分析 当…

ST-link v2使用SW模式烧录代码

1&#xff1a;目的 在某些开发板上没有引出ST-link完全适配的的20帧排线&#xff0c;可以通过连接SW模式&#xff0c;使用4根线来完成烧录操作 2&#xff1a;ST-link的引脚含义 3&#xff1a;ST-link v2 对应引脚编号的位置 4&#xff1a;连接排线 使用SW模式&#xff0c;要…

基于STM32单片机的大棚温度测控系统

目录 基于STM32单片机的大棚温度测控系统一、原理图二、部分代码三、视频演示 基于STM32单片机的大棚温度测控系统 功能&#xff1a; 1.通过LCD屏幕显示温湿度值和上限阈值 2.通过按键完成阈值加减功能 3.通过DHT11完成温湿度采集 4.若实时数据不满足条件&#xff0c;则进行降…

Cortext-M3系列:M3内核概述(1)

引言&#xff1a; 本系列博客记录的是博主以前学习单片机时期的一些关于MCU内核方面的知识点和笔记&#xff0c;分享给一起学习的小伙伴&#xff0c;也作为复习用处。文中出现的Cortex-M3、CM3、M3均指的是ARM公司的产品Cortex-M3&#xff0c;后面不再赘述。本系列的博客仅仅只…

stm32内核定时器的使用

文章目录1、内核定时器介绍2、使用内核定时器3、源码之前的文章讲到过Systick定时器&#xff0c;其实DWT内核定时器也是很常见的&#xff0c;而且就是基本上arm内核的芯片都会有这个芯片&#xff0c;这就给我们进行移植提供了方便&#xff0c;关于Systick定时器的记录可以查看&…

stm32内部Flash读写

文章目录1、stm32内部flash介绍2、读写驱动编写3、源码上篇文章讲到了STM32来驱动外部flah的操作&#xff0c;flash真是好东西啊&#xff0c;内存大&#xff0c;能存的东西多&#xff0c;这样我们就可以用它来做一些大点的事情了&#xff0c;比如我们一般在写程序的时候&#x…

SPI读写串行FLASH(W25Q64)

文章目录1、SPI协议1、硬件连接2、通讯时序3、不同的通信模式2、W25Q64介绍3、SPI读写驱动编写4、源码1、SPI协议 SPI 协议是由摩托罗拉公司提出的通讯协议(Serial Peripheral Interface)&#xff0c;即串行外围设备接口&#xff0c;是一种高速全双工的通信总线。它被广泛地使…

步进电机驱动控制总结(二)

文章目录1、驱动器介绍2、PWM方波直接驱动3、PWMDMA精准控制4、补充说明本次驱动较常见的42步进电机&#xff0c;就是比较标准的那种电机&#xff0c;应该是有一定代表性的&#xff0c;基本可以应付一些大学生比赛什么的了 这里用的两个电机如下所示 1、驱动器介绍 本次实验我…

NUCLEO-F411RE RT-Thread 体验 (7) - GCC环境外部中断的使用

NUCLEO-F411RE RT-Thread 体验 (7) - GCC环境外部中断的使用 1、简述 NUCLEO-F411RE开发版的蓝色按键对应PC13管脚&#xff0c;我们将其配成外部中断的方式&#xff0c;并设置低电平触发。 2、测试代码 驱动其实对应的还是之前移植好的pin驱动&#xff0c;所以这里不需要修…

STM32G0+EMW3080+阿里云飞燕平台实现单片机WiFi智能联网功能(二)阿里云飞燕平台环境配置

项目描述&#xff1a;该系列记录了STM32G0EMW3080实现单片机智能联网功能项目的从零开始一步步的实现过程&#xff1b;硬件环境&#xff1a;单片机为STM32G030C8T6&#xff1b;物联网模块为EMW3080V2-P&#xff1b;网联网模块的开发板为MXKit开发套件&#xff0c;具体型号为XCH…

STM32单片机(七)ADC模拟数字转换器----第二节:ADC模数转换器练习(AD单通道和AD多通道)

❤️ 专栏简介&#xff1a;本专栏记录了从零学习单片机的过程&#xff0c;其中包括51单片机和STM32单片机两部分&#xff1b;建议先学习51单片机&#xff0c;其是STM32等高级单片机的基础&#xff1b;这样再学习STM32时才能融会贯通。 ☀️ 专栏适用人群 &#xff1a;适用于想要…

STM32单片机(八)DMA直接存储器存取----第一节:DMA直接存储器存取

❤️ 专栏简介&#xff1a;本专栏记录了从零学习单片机的过程&#xff0c;其中包括51单片机和STM32单片机两部分&#xff1b;建议先学习51单片机&#xff0c;其是STM32等高级单片机的基础&#xff1b;这样再学习STM32时才能融会贯通。 ☀️ 专栏适用人群 &#xff1a;适用于想要…

NUCLEO-F411RE RT-Thread 体验 (9) - GCC环境 PWM的驱动移植以及简单使用

NUCLEO-F411RE RT-Thread 体验 (9) - GCC环境 PWM的驱动移植以及简单使用 驱动移植 驱动位于drv_pwm.c文件中&#xff0c;components层代码位于rt_drv_pwm.c中。 修改Makefile文件 修改配置文件rtconfig.h LED2链接PA5&#xff0c;而TIM2_CHANNEL1可从PA5输出PWM&#xff0…

STM32单片机(九)USART串口----第一节:USART串口协议

❤️ 专栏简介&#xff1a;本专栏记录了从零学习单片机的过程&#xff0c;其中包括51单片机和STM32单片机两部分&#xff1b;建议先学习51单片机&#xff0c;其是STM32等高级单片机的基础&#xff1b;这样再学习STM32时才能融会贯通。 ☀️ 专栏适用人群 &#xff1a;适用于想要…

STM32单片机(九)USART串口----第三节:USART串口实战练习(串口发送)

❤️ 专栏简介&#xff1a;本专栏记录了从零学习单片机的过程&#xff0c;其中包括51单片机和STM32单片机两部分&#xff1b;建议先学习51单片机&#xff0c;其是STM32等高级单片机的基础&#xff1b;这样再学习STM32时才能融会贯通。 ☀️ 专栏适用人群 &#xff1a;适用于想要…

I2C协议应用(嵌入式学习)

I2C协议&应用 0. 前言1. 概念2. 特点&工作原理3. 应用示例代码模板HAL模板 0. 前言 I2C是Inter-Integrated Circuit的缩写&#xff0c;它是一种广泛使用的串行通信协议。它由飞利浦&#xff08;现在是NXP Semiconductors&#xff09;开发&#xff0c;并已成为各种电子…

撸一遍STM32最小系统板

采样的MCU型号为STM32F405RGT6&#xff0c;目前这款芯片价格便宜性能好。 1 电机控制会用到单片机的哪些功能&#xff1f; GPIO&#xff08;通用输入/输出&#xff09;&#xff1a;单片机的GPIO引脚可以用于控制电机的开关、使能以及接收传感器的反馈信号。通过设置GPIO引脚的…

FreeRTOS 队列

1. 简介 1.1 FreeRTOS 中所有的通信与同步机制都是基于队列实现的

STM32速成笔记—IWDG

文章目录 一、IWDG简介二、STM32的IWDG2.1 STM32的IWDG简介2.2 喂狗2.3 IWDG框图 三、IWDG配置步骤四、IWDG配置程序4.1 IWDG初始化程序4.2 喂狗 五、应用实例 一、IWDG简介 独立看门狗&#xff08;Independent Watchdog, IWDG&#xff09;&#xff0c;什么是看门狗&#xff1…

cubemx hal stm32 atgm336h GPS 北斗 定位 模块 驱动代码

代码部分 atgm336h.c #include "atgm336h.h" #include "stdio.h" #include "string.h"char rxdatabufer; u16 point1 0;_SaveData Save_Data; LatitudeAndLongitude_s g_LatAndLongData {.E_W 0,.N_S 0,.latitude 0.0,.longitude 0.0 };…

嵌入式基础知识

1.嵌入式系统的定义 以应用为中心&#xff0c;以计算技术为基础软硬件可裁剪&#xff0c;适应系统对功能、可靠性、成本、体积、功耗严格要求的专用计算机技术。 主要由嵌入式微控制器、外围硬件设备、嵌入式操作系统以及用户应用软件等部分分组成。 具有“嵌入式” 、“专用…

5 STM32复位和时钟控制

STM32的复位功能 系统复位&#xff1a; 系统复位将复位除时钟控制寄存器CSR中的复位标志和备份区域中的寄存器以外的所有寄存器为它们的复位数值。&#xff08;按键复位和软件复位属于系统复位&#xff09; 电源复位&#xff1a; 电源复位将复位除了备份区域外的所有寄存器。…

NVIDIA Jetson TX1,TX2,TX2 NX,AGX Xavier 和 Nano开发板GPIO口配置及应用

NVIDIA Jetson TX1&#xff0c;TX2&#xff0c;TX2 NX&#xff0c;AGX Xavier 和 Nano开发板GPIO口配置及应用 简介 ​ Jetson TX1、TX2、TX2 NX、AGX Xavier和Nano开发板都配备了一个包含40个引脚的GPIO接头&#xff0c;这些引脚可以用于数字输入输出。如下图 ​ 类似于Rasp…

【STM32】软件I2C控制频率

在上一篇文章中&#xff0c;我们已经介绍了整个软件I2C的实现原理&#xff0c;但是也遗留了一个问题&#xff0c;那就是I2C速率的控制&#xff0c;其实就是控制SCL信号的频率。 微秒级延时 在上篇文章中&#xff0c;我们使用了SysTick进行延时&#xff0c;具体如下&#xff1…

五、HAL_Timer的定时功能

1、开发环境 (1)Keil MDK: V5.38.0.0 (2)STM32CubeMX: V6.8.1 (3)MCU: STM32F407ZGT6 2、定时器简介 (1)定时器可以通过输入的时钟源进行计数&#xff0c;从而达到定时的功能。 3、实验目的&原理图 3.1、实验目的 (1)通过定时器设置定时&#xff0c;实现LED灯以500…

RT-Thread:在W25Q128上搭载文件系统

文章目录前言一、开启SPI总线二、在Flash上搭载文件系统三、创建和挂载总结前言 学习如何在片外Flash中搭载文件系统。 一、开启SPI总线 1、在env中开启连接片外Flash的SPI1总线 2、在Drivers文件夹中spi_flash_init.c文件中可以看到初始化SPI总线的挂在和块备初始化代码 …

什么是STM32单片机

一、32单片机的简介 STM32全称是意法半导体32位系列微控制器芯片。ST即意法半导体&#xff08;STMicroelectronics&#xff09;。意法半导体 &#xff08;STMicroelectronics&#xff09; 集团于1987年6月成立&#xff0c;是由意大利的SGS 微电子公司和法国Thomson 半导体公司…

【单片机】STM32单片机读取旋转编码器,TIM定时器捕获

文章目录 旋转编码器介绍主程序逻辑直接检测用外部中断检测下降沿定时器直接解码旋转编码器 旋转编码器介绍 旋转编码器简单来说&#xff0c;就是会输出2个PWM&#xff0c;依据相位可以知道旋转方向&#xff0c;依据脉冲个数可以知道旋转的角度。一般旋转一圈有一个固定数值的…

单片机读取ID卡(EM4100卡)/ 125KHz RFID 曼彻斯特码 读卡程序

【本文发布于https://blog.csdn.net/Stack_/article/details/116952678&#xff0c;未经许可不得转载&#xff0c;转载须注明出处】 一、读卡电路原理图 这个图是别人画的&#xff0c;不是太懂原理。 MCU产生125K 方波&#xff0c;经过74HC04和4.7欧电阻后到达铜质线圈&#xf…

STM32F103 SPI(踩坑日记)

SPI学习前言1.SPI 协议1.1SPI的4种模式2. STM32F103 硬件SPI2.1 标准库的发送函数2.2 HAL库发送函数2.2.1 这里有个小坑3. SPI的连续传输和非连续传输4.SPIDMA传输的坑前言 第1部分针对的spi的基础知识 第2、3部分是使用中遇到的坑和自己的理解。也欢迎大佬对文章中错误内容指…

allegro 设计中显示网络飞线或关闭网络飞线的方法

1.allegro pcb设计中显示全部网络线&#xff0c;或都关闭全部网络 2…allegro pcb设计中显示某一部分部网&#xff0c;或者显示器件网络&#xff1a;在display >show rats> net显示网络线&#xff0c;单击选中的网络即可显示。在display >shats >components 显示器…

STM32L4系列单片机ADC通过内部参考电压精确计算输入电压

以STM32L476为例,VREFINT与内部ADC1_INP0相连 当VDDA与VREF引脚连在一起时&#xff0c;该电压可能波动&#xff0c;这将导致ADC转换误差很大&#xff0c;因此可通过利用单片机内部的参照电压来算出该时刻的实际参考电压VREF。 VREFINT_CAL:从下图中的地址0x1FFF 75AA-0x1FFF7…

STM32超声波模块实验(定时器实现)

实验材料准备 1个HC-SR04超声波模块 1台STM32F103ZET6开发板 若干杜邦线 HC-SR04模块简介 STM32定时器设置 实验中接收发送信号都用定时器&#xff0c;方便管理 上表在《STM32中文参考手册_V10》第119页&#xff0c;实验中产生PWM输出使用TIM_CH1完全重映射&#xff0c;输出…

单片机 取模软件:字模提取v2.1、PCtoLCD下载

取模软件用于文字、图像取模 本文提供两种软件免费下载地址&#xff08;在文章最后&#xff09;&#xff1a; 分别是PCtoLCD和取字模v2.2软件。 1.PCtoLCD界面 2.字模提取v2.1界面 阿里云盘链接&#xff1a;https://www.aliyundrive.com/s/kmamuhH9Xqg

17 . CAN 通讯实验

CAN 通讯实验 CAN 介绍 CAN 总线介绍 CAN 是Controller Area Network 的缩写&#xff08;以下称为CAN&#xff09;&#xff0c;中文意思是控制器局域网络&#xff0c;是ISO 国际标准化的串行通信协议。在汽车产业中&#xff0c;出于对安全性、舒适性、方便性、低公害、低成本…

LoRa模块一对多通信

实验简介 基于sx1268芯片封装的lora模块&#xff0c;实现一个模块发送&#xff0c;其他模块接收的功能&#xff0c;用stm32单片机发送数据&#xff0c;电脑的串口调试助手显示接收的数据。 实验框图 硬件环境 3个LoRa模块2个USB转TTL模块2台上位机视情况准备胶棒天线1台stm32…

HX711重量传感器接线

HX711重量传感器接线

STM32_基础入门(八)_NVIC中断优先级管理

持续关注阿杰在线更新保姆式笔记~~坚持日更 参考资料&#xff1a;《STM32中文参考手册V10》-第9章 中断和事件 目录 一、NVIC中断优先级分组 中断优先级分组寄存器 介绍一下抢占优先级、响应优先级的区别&#xff1a; 中断优先级分组库函数 二、NVIC中断优先级设置 中断优…

OPENV接收和发送串口的数据

import sensor, image, time from pyb import UART from pyb import Pin, Timer, LEDimport resensor.reset() sensor.set_pixformat(sensor.RGB565) sensor.set_framesize(sensor.QVGA) sensor.skip_frames(time 2000)uartUART(1,115200) #PA9 txd PA10--rxd 微翻版OPENMV…

STM32_基础入门(六)_程序调试

持续关注阿杰在线更新保姆式笔记~~坚持日更 参考资料 《STM32F1开发指南-库函数版本》3.4小节和6.4小节 《STM32中文参考手册V10》29章 目录 一、前言 二、调试原理 三、软件调试 四、硬件调试 一、前言 这一篇博客主要讲解STM32F1开发板如何进行调试&#xff0c;分别是硬…

STM32_基础入门(五)_SysTick定时器_终于读懂系列

持续关注阿杰在线更新保姆式笔记~~坚持日更 目录 一、 什么是SysTick&#xff1f; 二、SysTick作用 三、SysTick相关的寄存器 四、systick相关函数说明 五、Systick使用实践 Systick定时时间的设定&#xff1a; Systick的中断处理函数 中断优先级的修改 六、总结 一、 什…

STM32_基础入门(一)_寄存器跑马灯实验

持续关注阿杰在线更新保姆式笔记~~坚持日更 库函数跑马灯实验 目录 一、硬件连接 二、寄存器步骤 三、代码区 一、硬件连接 GPIO输出方式&#xff1a; 推挽输出 二、寄存器步骤 使能IO口时钟。配置寄存器RCC_APB2ENR。 参考手册---》6.3.7 APB2 外设时钟使能寄存器(R…

第一节 基本数字输出功能LED灯

I/O端口的原理 单片机端口是标准双向口&#xff0c;可以输出信号也可以输入信号。 上图的A点相当于单片机的I/O口&#xff0c;A可以连接5V或接地&#xff0c;保证了电流可以双向通过。 假设LED正常工作压降&#xff08;电压差&#xff09;是1.5V&#xff0c;正常工作电流是1…

上/下拉电阻详解

1.拉电流和灌电流 电子元器件在广义上分为有源器件和无源器件。 有源器件需要电源&#xff08;能量&#xff09;才能实现其特定的功能&#xff0c;如运算放大器在有输入信号的前提下&#xff0c;如果不提供电源&#xff0c;运算放大器无法实现其放大功能。 无源器件在工作时&a…

STM32F103移植RT-Thread完整过程

前言 RT-Thread官网有很多通过IDE一键移植的方法&#xff0c;本文选择的是手动移植&#xff0c;文末提供移植好的完整工程。 RT-Thread 有3个版本&#xff0c;分别是标准版本、Nano版本、Smart版本&#xff0c;本文选择的是最简单的Nano版本&#xff0c;RT-Thread Nano 是一个…

51单片机定时器使用与计算-----day3

51单片机定时器-----day3 8051单片机介绍&#xff1a; 集成 8位CPU、 4K字节ROM&#xff08;掉电不丢失&#xff09;、 128字节RAM&#xff08;掉电丢失&#xff09;、 4个8位并口、 1个全双工串行口、2个16位定时/计数器。 寻址范围64K&#xff0c; 并有控制功能较强的布尔处…

51单片机中断说明与应用数码管秒表计时,顺手解决最后一位数码管过亮问题----day4

51单片机中断说明与应用----day4 1.中断概念&#xff1a; 当CPU在处理某项任务的时候&#xff0c; 在外界发生了紧急事件&#xff0c; 要求CPU暂停现在的工作而去处理这个紧急事件&#xff0c; 待事件处理完后&#xff0c; 再回到原 来中断的地方&#xff0c; 继续执行原来被中…

【蓝桥杯嵌入式】定时器实现按键单击,双击,消抖以及长按的代码实现

&#x1f38a;【蓝桥杯嵌入式】专题正在持续更新中&#xff0c;原理图解析✨&#xff0c;各模块分析✨以及历年真题讲解✨都在这儿哦&#xff0c;欢迎大家前往订阅本专题&#xff0c;获取更多详细信息哦&#x1f38f;&#x1f38f;&#x1f38f; &#x1fa94;本系列专栏 - 蓝…

K_A14_003 基于STM32等单片机驱动APDS9960手势运动模块 串口与OLED0.96双显示

K_A14_003 基于STM32等单片机驱动APDS9960手势运动模块 串口与OLED0.96双显示一、资源说明二、基本参数参数引脚说明三、驱动说明时序对应程序:四、部分代码说明1、接线引脚定义1.1、STC89C52RCAPDS9960手势运动模块1.2、STM32F103C8T6APDS9960手势运动模块五、基础知识学习与相…

STM32模拟SPI时序控制双路16位数模转换(16bit DAC)芯片DAC8552电压输出

STM32模拟SPI时序控制双路16位数模转换&#xff08;16bit DAC&#xff09;芯片DAC8552电压输出 STM32部分芯片具有12位DAC输出能力&#xff0c;要实现16位及以上DAC输出需要外挂DAC转换ASIC。 DAC8552是双路16位DAC输出芯片&#xff0c;通过SPI三线总线进行配置控制输出。这里…

解析STM32启动过程

相对于ARM上一代的主流ARM7/ARM9内核架构&#xff0c;新一代Cortex内核架构的启动方式有了比较大的变化。ARM7/ARM9内核的控制器在复位后&#xff0c;CPU会从存储空间的绝对地址0x000000取出第一条指令执行复位中断服务程序的方式启动&#xff0c;即固定了复位后的起始地址为0x…

嵌入式学习笔记——STM32的时钟树

时钟树前言时钟树时钟分类时钟树框图LSI与LSEHSI、HSE与PLL系统时钟的产生举例AHB、APBx的时钟配置时钟树相关寄存器介绍1.时钟控制寄存器&#xff08;RCC_CR&#xff09;2.RCC PLL 配置寄存器 (RCC_PLLCFGR)3.RCC 时钟配置寄存器 (RCC_CFGR)4.RCC 时钟中断寄存器 (RCC_CIR)修改…

如何设计一个锂电池充电电路(TP4056)

这个是个单节18650锂电池的充电模块&#xff0c;这个是个18650的锂电池&#xff0c;18指的是它的直径是18mm&#xff0c;65指的是它的高度为65mm。这个18650电池的标称电压是3.7V&#xff0c;电池充满时电压为4.2V&#xff0c;一般电池电压越高也就代表它所剩的电量越大。这种锂…

STM32之基本定时器中断

一、TIM&#xff08;Timer&#xff09;定时器基本定时器中断可以对输入的时钟进行计数&#xff0c;并在计数值达到设定值&#xff08;自动重装值&#xff09;时触发中断&#xff1b; 16位计数器、预分频器、自动重装寄存器的时基单元&#xff0c;在72MHz计数时钟下可以实现最大…

解决:在STM32的标准库上添加DSP库时error: #247

DSP库相对于标准的“math.h”对浮点计算做了优化和增强&#xff0c;运算速度更快。关于STM32如何添加DSP库&#xff0c;网上有很多教程&#xff0c;笔者在实际操作时发现会遇到keil报error: 247的问题。针对于解决过程&#xff0c;在此做一个记录。【错误原因】error: 247&…

基于STM32的简易电子秒表仿真设计(仿真+程序+设计报告+讲解)

基于STM32的简易电子秒表仿真设计(仿真程序设计报告讲解&#xff09;视频演示1.主要功能2.仿真3. 程序4. 设计报告5.资料清单&下载链接仿真图proteus 8.9 程序编译器&#xff1a;keil 5 编程语言&#xff1a;C语言 设计编号&#xff1a;C0078 视频演示 基于STM32的简易…

【嵌入式】Modbus实践

前言 最近接了一个项目&#xff0c;需要使用Modbus协议&#xff0c;虽然之前有所耳闻&#xff0c;但一直没有实操过&#xff0c;但实践之后发现其实还是很简单的&#xff0c;我认为它本质上就是对串口传输进行“二次封装”。 建议的入门顺序 大神带你秒懂Modbus通信协议——理…

环境参数智能监测站设计(软件篇)

环境参数智能监测站设计&#xff08;软件篇&#xff09; 系统总体设计 本系统具有对环境的温度、湿度、光照、空气质量、土壤水分、雨情的检测和控制等功能。系统运用STM32F103C8T6作为最小系统的中央处理器。整个系统主要从硬件电路设计和软件程序设计两部分来实现。可以将环…

STM32的CAN过滤器

最近开始给足底压力设备加外设&#xff0c;这里外设个主设备之间通过can总线连接&#xff0c;之前使用过can总线&#xff0c;但是对can的过滤器不是很理解&#xff0c;所以这里就借机整理一下。原文地址&#xff1a;《再谈STM32的CAN过滤器-bxCAN的过滤器的4种工作模式以及使用…

数据寻址方式

直接寻址 间接寻址 寄存器寻址 寄存器间接寻址 隐含寻址 立即寻址 偏移寻址 基址寻址 变址寻址 基址&变址复合寻址 实际应用中&#xff0c;往往许需要多种方式复合使用 相对寻址 补充 硬件如何实现数的比较 堆栈寻址 总结

cubemx stm32 a3144模块 霍尔效应传感器 风扇转速驱动代码

a3144 资料 a3144是一种开关型霍尔效应传感器&#xff0c;由下图所知&#xff0c;他有3个引脚&#xff0c;&#xff08;从丝印正对来看&#xff09;从左到右是VCC、GND和输出的型号引脚。 需要注意的是&#xff1a;这里的的VCC的范围在4.5到24V之间&#xff0c;输出的饱和电压虽…

STM32F103在移动模块中的应用及其与ROS通信的探究:详解STM32基于STM32F103的收发模块设计

前言 尊敬的读者&#xff0c;你好&#xff01;我在这篇文章中&#xff0c;将带领你了解STM32F103的移动模块和它如何与ROS进行通信。同时&#xff0c;我会深度探讨如何设计一个基于STM32F103的收发模块。在此&#xff0c;我需要强调一下&#xff0c;我并非专家&#xff0c;我只…

【STM32智能车】电机控制

【STM32智能车】电机控制 PWMPWM基本用法&#xff1a; 电机驱动基本控制基本状态 欢迎收看由咸鱼菌工作室出品的STM32系列教程。本篇内容主要电机控制 PWM 我们要控制电机&#xff0c;就要先了解一下PWM。 PWM(Pulse Width Modulation)控制——脉冲宽度调制技术&#xff0c;通…

单片机通识之PWM呼吸灯、变速马达等

单片机通识之PWMPWM是什么PWM可以实现什么PWM是什么 PWM&#xff0c;即脉宽调制&#xff08;PWM&#xff0c;Pulse Width Modulation&#xff09;&#xff0c;在这篇文章中&#xff0c;暂时将之简单的理解为: 在一段时间内&#xff0c;控制单片机输出高低电平的手段。 PWM可以…

BINEX格式介绍

一、概述 BINEX是"BINary EXchange"的缩写&#xff0c;是常用的一种数据交换格式&#xff0c;在GNSS研究中用得很多。 BINEX被设计用来封装任意ASCII码形式的交换数据&#xff0c;比如 RINEX、IONEX、SP3、SINEX等。它有一些设计原则&#xff1a; 任意两个BINEX文件…

Arduino 详细讲解和资料

因为 Balser相机要用到外部触发&#xff0c;故买了 Arduino单片机 提供特定PWM信号&#xff0c;进行外部的触发&#xff01; 资料下载连接&#xff1a; 链接&#xff1a;https://pan.baidu.com/s/1k-DQLHhVLZhzKshrxOeLwA 提取码&#xff1a;c8oc 任务一 基本操作 第一…

ESP8266连接阿里云(STM32)

一、概述 之前通过串口调试助手ESP8266已经连接上阿里云了 ESP8266连接阿里云&#xff08;串口调试助手&#xff09; 这次我们使用STM32ESP8266来接入阿里云&#xff0c;其实本质上就是STM32和ESP8266进行串口通信&#xff0c;相当于使用STM32代替之前使用的串口调试助手来发送…

stm32外设笔记-freertos配置(四)

文章目录1、信号量的概念和作用1、基本概念2、信号量的常用用途2、二值信号量3、计数信号量前面的文章介绍了freertos的一些相关知识&#xff0c;主要包含邮箱&#xff0c;消息队列&#xff0c;任务通知等&#xff0c;这里继续介绍freertos中的比较重要的信号量的内容。 记录一…

利用STM32CubeMX软件生成USB_HOST读写U盘

一、测试平台&#xff1a; MCU:STM32F429IGT6 工具&#xff1a;STM32CubeMX软件 编译软件:MDK 二、配置步骤 (1).打开STM32CubeMX软件&#xff0c;创建新的工程文件&#xff0c;点击ACCESS TO MCU SELECTOR选项。 (2).选择芯片型号&#xff0c;在这里选择STM32F429IGT6型号。…

stm32-USB使用记录(二)

文章目录1、使用外挂FLASH芯片模拟U盘2、使用sd卡模拟U盘前面的笔记中已经提到了就是可以通过STM32的USB外设来完成虚拟串口&#xff08;CDC&#xff09;还有大容量储存设备&#xff08;MSB&#xff09;的功能&#xff0c;但是对于单片机而言&#xff0c;内部的flsh总是不够的&…

STM32的八种工作模式

一、模式介绍 STM32单片机具有高性能、低成本、低功耗的优点&#xff0c;与它打交道就必须先了解它的几种工作模式&#xff0c;它共有八种IO口模式&#xff0c;分别是&#xff1a;模拟输入、浮空输入、上拉输入、下拉输入、开漏输出、推挽输出、复用开漏输出和复用推挽输出。 …

基于FPGA的呼吸灯的实现(vhdl实现)

实验原理 对于FPGA的引脚电压只有“0”和“1”两个电平&#xff0c;不能通过逐渐增加电压再逐渐减小电压实现要求&#xff0c;但是可以通过改变引脚单位时间内高电平的输出时间来实现呼吸灯&#xff0c;也就是让FPGA引脚输出一系列PWM波信号并不断改变PWM波的占空比实现呼吸灯的…

nRF52832自定义服务与特性

验目的&#xff1a;自定义Service私有服务并传输温湿度传感器数据。本实验基于SDK17.02中ble_app_uart示例代码基于ble_bls.h进行更改。 背景 1.1GAP初始化,要完成三个工作&#xff1a; a.GAP初始化安全模式配置&#xff0c;安全模式指连接时是否需要身份验证。 b.蓝牙设备名…

配置vscode作为STM32代码的编辑器(替代keil5)。实现:代码自动补全, 编译,下载。nRF52也可以编译。

STM32CubeMX新建好工程在工程根目录新建文件夹 .vscode在.vscode 文件夹内新建文件c_cpp_properties.json {"configurations": [{"name": "STM32", //任意的名字。改名字是为了辨别当前的配置信息。"includePath": [ "${workspa…

stm32利用mqtt与小程序通信

stm32利用mqtt与小程序通信stm32连接服务器端小程序连接服务器本项目实现的功能如下&#xff1a;通过stm32连接esp8266&#xff0c;然后利用mqtt协议连接到服务器&#xff0c;同时小程序端也连接到服务器&#xff0c;通过订阅和发布主题来使得这两个客户端能进行数据的传输。st…

利用stm32+app inventor与esp8266通信

利用stm32app inventor与esp8266通信stm32与esp8266通信移动端app制作、生成、控制本章实验的目的是将手机连接上ESP8266提供的WIFI网络&#xff0c;打开自定义的app连接ESP8266的ip地址及端口号&#xff0c;实现app与ESP8266模块的通信&#xff0c;进而达到app控制32开发板的目…

stm32f103c8t6控制蓝牙模块实现led灯亮灭

stm32f103c8t6控制蓝牙模块实现led灯亮灭之前利用蓝牙模块是用arduino控制板的&#xff0c;现在已经渐渐接触stm32&#xff0c;所以&#xff0c;这次想着用stm32来控制蓝牙模块。 首先的话&#xff0c;需要配置蓝牙模块的一些参数&#xff0c;用到的模块有: USB转TTL模块、HC-0…

stm32串口学习笔记

stm32作为现在嵌入式物联网单片机行业中经常要用多的技术&#xff0c;相信大家都有所接触&#xff0c;今天这篇就给大家详细的分析下有关于stm32的出口&#xff0c;还不是很清楚的朋友要注意看看了哦&#xff0c;在最后还会为大家分享有些关于stm32的视频资料便于学习参考。 什…

mecam麦轮02

https://blog.csdn.net/Naiva/article/details/123495023

422B测试成功

#include "stc8a8k.h" #include <stdio.h> #include "stdlib.h" #include "stdarg.h" #include "string.h" //str函数 #include "intrins.h"//22.1184Mhz&#xff1b; #define DataIn P7 #define DataOut P2sbit …

stm32-USB使用记录(一)

文章目录1、USB设备介绍2、虚拟串口进行数据收发1、在stm32F1上进行2、在stm32F4上进行3、大容量设备访问内部flash1、USB设备介绍 USB&#xff0c;即为通用串行总线&#xff0c;是一个外部总线标准&#xff0c;用于规范电脑与外部设备的连接和通讯。是应用在PC领域的接口技术…

stc8a--al422B————01,硬件上RE已经接地了。

#include "stc8a8k.h" #include <stdio.h> #include "stdlib.h" #include "stdarg.h" #include "string.h" //str函数//22.1184Mhz&#xff1b; #define DataIn P0 #define DataOut P2sbit WCK P1^5; sbit WEN P1^4; sbit…

大屏LCD12864_stc8a8k使用说明

1、实验室有几个大屏LCD12864&#xff0c;不知道是不是带中文字库&#xff0c;这里不用中文&#xff0c;本身就屏幕不够大&#xff0c;所以之类显示英文或者字符&#xff0c;能显示更加多的内容。 2、为了节约管脚&#xff0c;这里使用了串行的方式进行通信和数据传输。博文后…

水比赛系列-HMI串口屏的使用

文章目录1、HMI串口屏介绍1、选型介绍2、开发工具3、新建工程2、HMI串口屏常用控件1、字库图片2、页面切换3、字符最大长度4、全局还是私有5、亮度调节和波特率6、变量7、定时器8、初始化事件3、串口屏数据交互1、串口发送数据2、模拟器仿真3、发送指令改变控件的值4、源码感觉…

dcmotor.c=dcmotor.h==20220327

#include "htimx.h" #include "dcmotor.h"void DCMotor1Init(void) {GPIO_InitTypeDef GPIO_InitStructure; RCC_AHB1PeriphClockCmd(RCC_AHB1Periph_GPIOD, ENABLE);//使能 GPIOd 时钟 //GPIOF9,F10 初始化设置 GPIO_InitStructure.GPIO_Pin GPIO_Pin_…

stm32上云实战篇

文章目录1、使用官方提供的库连接1、获取官方例程2、移植文件3、配置数据上传还有数据下发4、最终配置2、使用MQTT固件进行解析3、尝试连接腾讯云4、尝试连接阿里云1、使用官方提供的库连接 相信最开始用onenet的应该都是用的这个官方的库吧&#xff0c;特别熟悉的代码&#x…

电子信息工程专业打工人的蓝桥杯嵌入式竞赛时记

文章目录前言一、基础入门1.GPIOGPIO的引脚速度GPIO的翻转速度引脚功能&#xff1a;端口复用和端口重映射输入输出模式引脚设置2.新建工程&#xff08;F103G431&#xff09;3.必备宏定义&#xff08;F103&#xff09;4.注意库函数&#xff08;F103&#xff09;5.时钟6.中断7.其…

STM32F407 GPIO口输出配置配置步骤

STM32F407ZGT6 是意法半导体&#xff08;STMicroelectronics&#xff09;公司推出的一款高性能ARM Cortex-M4核心的32位微控制器&#xff08;MCU&#xff09;。它是 STM32F4 系列的一员&#xff0c;具备强大的处理能力和丰富的外设功能&#xff0c;适用于各种应用领域。 【1】…

STM32F407 串口配置步骤

介绍STM32F407串口配置步骤&#xff0c;完成串口的数据发送与接收、实现中断接收&#xff0c;支持printf重定向。 STM32F407 串口配置说明 STM32F4 的串口资源相当丰富的&#xff0c;功能也相当强劲&#xff0c;STM32F407ZGT6 最多可提供 6 路串口&#xff0c;有分数波特率发…

encoder.h---20220327

#ifndef _ENCODER_H #define _ENCODER_H#include "sys.h"//特别说明&#xff1a;对于黑色的编码电机&#xff0c;如果减速比是48extern int32_t encoder_cnt[4]; //encoder_cnt[4];四个测速编码接口的读取的编码的数据。 //是电机运动时&#xff0c;定时器的正交编…

DIY桌面吹风机:焊接必备,告别刺鼻烟尘

当我们焊接电路板时&#xff0c;时常会被刺鼻的烟尘熏到。 本期项目是一款桌面吹风机&#xff0c;非常适合经常需要焊接电路板的电子工程师&#xff0c;彻底告别刺鼻的焊接气体。 它外观小巧&#xff0c;设计简单&#xff0c;而且它的开关功能也非常的特别&#xff0c;将立方体…

TFT-LCD移植记录

文章目录1、TFT-LCD驱动方式及原理2、配置TFT-LCD驱动3、移植驱动函数4、移植触摸驱动1、TFT-LCD驱动方式及原理 关于屏幕资料&#xff0c;这里有个比较好的网站方便我们进行查阅 LCD wiki 本次测试&#xff0c;我用了两种屏幕&#xff0c;效果都是正常的 第一种是这种的电容屏…

STM32 UDS Bootloader开发-下位机篇-bootloader软件(3)

文章目录 前言Flash相关初始化API函数擦除函数写入函数CRC32校验调试修改点FlashDriver大小判断擦除时间设置不合理APP起始地址和复位地址APP地址不连续导致CRC校验失败配置部分总结前言 上一篇文章中主要介绍了UDS服务相关的内容。本文接着介绍flash操作的相关修改,及bootlo…

基于高性能的STM32G031K4T6、STM32G031K6T6、STM32G031K8T6(ARM微控制器)64MHz 闪存 32-LQFP

STM32G0 32位微控制器 (MCU) 适合用于消费、工业和家电领域的应用&#xff0c;并可随时用于物联网 (IoT) 解决方案。这些微控制器具有很高的集成度&#xff0c;基于高性能ARM Cortex-M0 32位RISC内核&#xff0c;工作频率高达64MHz。该器件包含内存保护单元 (MPU)、高速嵌入式内…

单片机PWM输出波形是高电平,对比其他写的程序没有问题

感觉自己的PWM程序写的没有问题&#xff0c;但是调试的时候PWM一直是高电平&#xff0c;不知道什么原因。 出错的原因&#xff1a; 1、配置引脚的错误 2、选择复用模式为定时器的时候&#xff0c;选择参数错误&#xff0c;特别注意这里的复用引脚功能要选择对&#xff0c;很多…

stm32 I2C使用方法

使用独立的I2C引脚 #include "swiic.h"#define IIC2_SDA_AHB RCC_APB2Periph_GPIOB #define IIC2_SDA_Port GPIOB #define IIC2_SDA_Pin GPIO_Pin_7#define IIC2_SCL_AHB RCC_APB2Periph_GPIOB #define IIC2_SCL_Port GPIOB #defi…

C 和 Python 演示嵌入式数字控制

特点 ARM Cortex-M 微控制器上提供有关数字控制系统实现技术的专家指导通过 Python 编程语言实现原型数字控制系统&#xff0c;更好地理解理论数字控制概念使用 C 编程语言在实际微控制器上实现数字控制系统的指导&#xff0c;解决涉及数字控制、机器人和机电一体化的现实问题…

STM32之间CAN协议通讯

本教程将介绍 STM32 中的基本 CAN 协议。 在这里我们将看到&#xff0c;如何使用 CAN 协议在两个 STM32 板之间进行通信。 CAN协议介绍 我不打算在这里解释每一个小细节&#xff0c;而是只关注一些重要的事情。 CAN&#xff08;Controlled Area Network&#xff09;协议是不…

STM32外设系列—HC-05(蓝牙)

文章目录 一、蓝牙简介二、使用方法2.1 接线2.2 AT指令 三、蓝牙APP四、实战项目4.1 添加文件4.2 配置需要传递的参数4.3 获取返回值4.4 发送光照强度4.5 控制程序4.6 手机端页面设计4.6.1 新建调试工程4.6.2 设置通信变量4.6.3 编辑控件4.6.4 添加LED控制开关4.6.5 添加光照强…

文末赠书《GD32 MCU原理及固件库开发指南》5本 | 国产MCU中GD32系列有望成为未来32位MCU的主流

学习优秀博文&#xff08;【guo产MCU移植】手把手教你使用RT-Thread制作GD32系列BSP&#xff09;有感 一篇优秀的博文是什么样的&#xff1f;它有什么规律可循吗&#xff1f;优秀的guo产32位单片机处理器是否真的能成功替换掉stm32的垄断地位&#xff1f; 本文博主以亲身经历聊…

编译stm32 HAL库工程时报错,error: #20: identifier “HAL_StatusTypeDef“ is undefined

/Drivers/STM32F1xx_HAL_Driver/Inc/stm32f1xx_hal_flash.h(276): error: #20: identifier "HAL_StatusTypeDef" is undefined 刚开始用是stm32 hal库&#xff0c;这个工程是stm32cubeMax软件生成的&#xff0c;编译的时候确发生错误&#xff0c; 明明已经加了 #i…

STM32实战-高级定时器带死区的互补PWM输出

前言&#xff1a; 平时我们设计点击驱动电路时&#xff0c;一般会采用npn和pnp三极管&#xff0c;来控制电机的导通和关闭&#xff0c;但是三级管内部自带电容&#xff0c;断电后不会立马断掉&#xff0c;会经过很小的一段时间才会放电完毕&#xff0c;这时候要留有死区给电容…

STM32WB55的SDK使用SWO打印功能的方法

开发过程中&#xff0c;经常需要对调试数据进行打印&#xff0c;一般会使用串口&#xff0c;而STM32一共有2个串口&#xff0c;分别是USART和LPUART&#xff0c;对于一些需要外挂串口设备超过2个及以上的系统来讲&#xff0c;就显得不够用了。这时候SWO功能就排上用场&#xff…

【STM32学习】定时器寄存器配置、功能工作过程详解

【STM32学习】定时器寄存器配置、功能工作过程详解零、参考一、引言二、功能以及寄存器说明1、最基本的定时功能&#xff08;时基单元&#xff09;1.1 框图1.2 工作流程1.3 寄存器介绍1.3.1 CR1寄存器1.3.2 CNT、PSC、ARR寄存器1.3.3 EGR寄存器1.3.4 RCR寄存器零、参考 STM32-…

STM32CubeMX 移植TFTLCD

源代码基于正点原子。探索者原理图。很久没有用过正点原子的底层了 在配置好后注意IO口是否和原理图接法一致。 TFT的背光高电平点亮。 RST复位引脚与32的复位引脚相连&#xff0c;上电复位。 Chip Select 不同引脚选择的 NEx不同。 存储类型接口按图中选择即可。 LCD Regis…

K_A24_001 基于STM32等单片机驱动JDY31实现手机遥控点灯

K_A24_001 基于STM32等单片机驱动JDY31实现手机遥控点灯所有资源导航一、资源说明二、基本参数参数引脚说明三、驱动说明对应程序:四、部分代码说明1、接线引脚定义1.1、STC89C52RCJDY31模块1.2、STM32F103C8T6JDY31模块五、基础知识学习与相关资料下载六、视频效果展示与程序资…

LC电路是如何产生振荡的

电容和电感是两个储能元件&#xff0c;当电源给电容充电完成后&#xff0c; 将开关切到电感&#xff0c;电电感两端的电压是一个正弦波&#xff0c;正弦波频率是: 这时我们称电感和电容产生了振荡。 当然由于电感和电容都是有损耗的&#xff0c;所以这种振荡会慢慢的衰减&…

【STM32学习】时钟配置详解

【STM32学习】时钟配置详解看懂时钟图结合代码外部高速时钟修改看懂时钟图 在刚开始学习32的时候&#xff0c;并不会在意这些&#xff0c;或者即使看了也看的不是很明白。随着学习的深入&#xff0c;我们发现看门狗、定时器、ADC很多外设都需要我们搞清楚&#xff0c;各自的时钟…

HAL库 STM32 串口通信

一、实验条件将STM32的PA9复用为串口1的TX&#xff0c;PA10复用为串口1的RX。STM32芯片的输出TX和接收RX与CH340的接收RX和发送TX相连&#xff08;收发交叉且PCB上默认没有相连&#xff0c;所以需要用P3跳线帽进行手动连接&#xff09;&#xff0c;CH340的另一端通过USB口引出与…

LAN8720A芯片

LAN8720A是一个10/100M 的以太网PHY芯片。带有SMI接口&#xff0c;支持RMII。 LAN8720A各个管脚的功能&#xff1a; MDIO MDC是站管理接口(SMI接口)引脚。SMI是标准接口&#xff0c;比如交换机芯片一般都有此接口。MCU通过这两条线可以访问PHY的寄存器。比如STM32F407的以太网…

什么是modbus通信协议?

Modbus是一种用于工业通信的通信协议&#xff0c;最初由Modicon在1979年推出&#xff0c;现在已经成为工业自动化领域中最流行的协议之一。Modbus通常用于控制和监视自动化设备&#xff0c;如PLC、传感器、计量器等。本篇博客将介绍Modbus的基本原理和应用&#xff0c;并介绍如…

R5 STM32 CAN总线协议-1物理层协议层

STM32 CAN总线-1 CAN是控制器局域网络(Controller Area Network)的简称。 具有的高可靠性和良好的错误检测能力。为半双工。 目录 STM32 CAN总线-1目录CAN 物理层1 闭环总线网络2 开环总线网络3 通讯节点4 差分信号5 CAN协议中的差分信号 CAN 协议层1 CAN的波特率及位同步1…

STM32 时钟 寄存器 异常和中断

时钟: 51单片机中有时钟和时钟树的概念&#xff0c;外设只有GPIO、定时器、和一个串口&#xff0c;使用的都是11.0592MHZ的频率&#xff0c;除了定时器外&#xff0c;其他外设只要上电就可以使用。 stm32不同外设对应的时钟频率不同&#xff0c;故有时钟树的概念 PLL&#xf…

STM32F429 使用FMC sram cubeMX配置记录

配置FMC void fmc_port_init(void) { SRAM_HandleTypeDef hsram; FMC_NORSRAM_TimingTypeDef Timing {0}; memset(&hsram,0,sizeof(hsram)); hsram.Instance FMC_NORSRAM_DEVICE; hsram.Extended FMC_NORSRAM_EXTENDED_DEVICE; hsra…

物联网实战之基于OneNET的智能农业系统

想玩物联网的时候物色了很多国内的云平台&#xff0c;最后选了中移云去实现。其实阿里云华为云机智云都不错&#xff0c;机智云网页界面不行但是带APP&#xff0c;阿里云也有个贝壳物联APP功能更强大&#xff0c;华为云不太了解不过好像也还行。中移云感觉更加的纯粹&#xff0…

【单片机】STM32单片机,RTC实时时钟,STM32F103C8T6,程序,万年历,数字时钟

文章目录 基础介绍rtc.hrtc.cmain.c 基础介绍 我以STM32F103C8T6为例&#xff0c;但STM32F103的RTC是通用的&#xff0c;STM32F103C8T6有一个原理图&#xff1a; https://qq742971636.blog.csdn.net/article/details/131288390 用纽扣电池给VBAT供电&#xff08;要共地&…

KEIL支持中文编辑

默认只支持英文&#xff0c;中文一粘贴就变成问号。怎么办&#xff1f; 菜单&#xff1a;Edit, Configuration 点击Encoding的下拉按钮&#xff0c;选择GB2312.

STM32送药小车(五):Openmv与主控芯片STM32的配合

系列文章目录 STM32智能送药小车&#xff08;三&#xff09;&#xff1a;0.96寸7针OLED的配置与编程_ssfight1的博客-CSDN博客 STM32智能送药小车&#xff08;二&#xff09;&#xff1a;搭建stm32cpp环境_ssfight1的博客-CSDN博客 STM32智能送药小车&#xff08;一&#xf…

STM32延迟(休眠)函数delay/sleep

参考 MSP432(Keil5)——3.delay延时驱动_keil5delay函数_大写的小写字母的博客-CSDN博客 亲手测试过&#xff0c; 好用。用while太愚蠢&#xff0c;而且不好控制。 下载链接 https://download.csdn.net/download/quantum7/87982408 delay.h #ifndef __DELAY_H__ #define …

使用电位器和Arduino实现步进电机控制

在本篇文章中&#xff0c;我们将学习如何使用电位器和Arduino开发板实现步进电机控制。步进电机可用于软盘驱动器、平板扫描仪、计算机打印机、绘图仪、图像扫描仪、光盘驱动器、智能照明、相机镜头、CNC机器以及最近比较流行的3D打印机。 因此&#xff0c;在本文中我们将学习…

KEIL支持中文编辑(乱码,问号)

默认只支持英文&#xff0c;中文一粘贴就变成问号。怎么办&#xff1f; 菜单&#xff1a;Edit, Configuration 点击Encoding的下拉按钮&#xff0c;选择GB2312.

STM32开发(十)STM32F103 通信 —— SPI通信编程详解

文章目录一、基础知识点二、开发环境三、STM32CubeMX相关配置四、Vscode代码讲解五、结果演示一、基础知识点 本实验通过STM32F103 的SPI功能&#xff0c;实现对W25Q64JVSSIQ &#xff08;Flash芯片&#xff09;芯片擦除&#xff0c;读数据&#xff0c;写数据等操作。 本实验内…

嵌入式开发的一些经典书籍

一、嵌入式开发领域有很多值得阅读的书籍&#xff0c;以下是一些经典书籍推荐&#xff1a; 1.《嵌入式系统软件设计》&#xff08;Michael J. Pont&#xff09;&#xff1a;本书介绍了嵌入式系统的基础知识和应用开发过程中常见的问题及解决方案。 2.《嵌入式系统设计与实践》…

STM32F103 移植FreeRTOS (附FreeRTOS源码和移植工程)

文章目录前言1. 移植FreeRTOS2. 测试FreeRTOS3. 移植工程前言 该篇环境为&#xff1a;STM32F103ZET6、Keil 库函数版本 F4、F7、H7移植同理。 FreeRTOS源码&#xff1a; 链接&#xff1a;https://pan.baidu.com/s/10l8TmseEJKkFdwFY3qZc1Q?pwd8uqw 提取码&#xff1a;8uqw…

【蓝桥杯嵌入式】蓝桥杯嵌入式第十二届省赛题,考点:模拟电压,串口通信,计时器

&#x1f38a;【蓝桥杯嵌入式】专题正在持续更新中&#xff0c;原理图解析✨&#xff0c;各模块分析✨以及历年真题讲解✨都在这儿哦&#xff0c;欢迎大家前往订阅本专题&#xff0c;获取更多详细信息哦&#x1f38f; &#x1f38f;【蓝桥杯嵌入式】蓝桥杯第十届省赛真题 &…

STM32 ---寄存器点灯

1.创建工程 处理器执行程序的时候怎么执行 处理器执行程序都是先执行汇编程序&#xff0c;然后在汇编程序里面跳到主函数里面&#xff0c;所以要先写好汇编程序 不过这个一般官方提供了&#xff0c;只需把这个文件拷到我们的工程文件夹里面 接着将汇编文件放到我们的工程里面…

蓝桥杯—stm32g431rbt6串口中断和定时器输出pwm学习

目录 串口中断 定时器中断 输出pwm 串口中断 配置异步模式&#xff0c;使能中断&#xff0c;选择波特率。 串口接收中断开启 HAL_UART_Receive_IT(&huart1,data, 3); 回调函数&#xff1a; void HAL_UART_RxCpltCallback(UART_HandleTypeDef *huart) { if(huar…

【thingsboard+chirpstack 下行数据通信测试】

这里写目录标题 7. 节点未收到 tb 平台下发数据原因分析7.1 收到的size为07.2 节点收不到数据7.3 可以收到数据的一组例子7.4 节点没收到数据原因分析本文主要描述 tb 下发的数据,节点接收不到原因分析。 主要是数据格式以及解析脚本的对应关系 7. 节点未收到 tb 平台下发数据…

【蓝桥杯嵌入式】蓝桥杯嵌入式第十四届省赛程序真题,真题分析与代码讲解

&#x1f38a;【蓝桥杯嵌入式】专题正在持续更新中&#xff0c;原理图解析✨&#xff0c;各模块分析✨以及历年真题讲解✨都已更新完毕&#xff0c;欢迎大家前往订阅本专题&#x1f38f; &#x1f38f;【蓝桥杯嵌入式】蓝桥杯第十届省赛真题 &#x1f38f;【蓝桥杯嵌入式】蓝桥…

STM32按键实验中连接按键的GPIO管脚是上拉输入还是下拉输入

一、理解 关于STM32按键实验中连接按键的GPIO管脚是配置为上拉输入还是下拉输入的理解&#xff1a; 以江科大自动协教学视频按键输入实验为例&#xff1a; &#xff08;1&#xff09;按键KEY0<——>PE4 按键另一端接GND &#xff08;2&#xff09;按键KEY1<——&…

野火STM32电机系列(六)Cubemx配置ADC规则和注入通道

前文已经配置了GPIO、编码器 本节讲解CubeMXADC规则和注入通道 本文adc注入通道采用定时器触发&#xff0c;因此在上文定时器配置的基础上进行 常规信号&#xff08;温度等&#xff09;使用带DMA的常规通道连续采样 注入采样由定时器触发&#xff0c;采集电机三相电流&…

【软件相关】Proteus仿真STM32记录

文章目录 0 前期教程1 前言2 先说说建议的流程3 需要注意的事项3.1 供电网配置不要忘了3.2 ADC模块的使用3.3 元器件查询手册 4 一些小技巧 0 前期教程 【软件相关】Proteus 8入门教程 1 前言 最近接了一个项目&#xff0c;是基于Proteus仿真STM32的&#xff0c;虽然此前有听…

好久不见,甚是想念

大家好&#xff0c;我是程序员小哈。 一晃停更好久了&#xff0c;之前因为12月份阳了&#xff0c;身体一直感觉没有完全恢复&#xff0c;就一直偷懒了&#xff0c;外加单位的事情比较多&#xff0c;实在是分身乏术&#xff0c;最近总算是告一段落了&#xff0c;咱们的知识分享…

基于NRF52833芯片的DW3000 SPI驱动接口代码实现

在前面章节DW3000芯片驱动API介绍中介绍了DW3000芯片API的程序框架和部分处理流程,下面介绍基于蓝牙芯片NRF52833芯片的相关SPI硬件接口驱动程序。 引脚配置 在port.h文件内,根据实际的硬件修改以下引脚配置定义宏,配置, #define DW3000_IRQn_Pin NRF_GPIO_PIN_MAP(0,…

STM32开发(十八)STM32F103 片内资源 —— 窗口看门狗 WWDG 详解

文章目录 一、基础知识点二、开发环境三、STM32CubeMX相关配置四、Vscode代码讲解五、结果演示 一、基础知识点 独立看门狗和窗口看门狗的区别&#xff1a; 独立看门狗在系统在待机、停机、睡眠阶段还会起效果&#xff0c;这就会导致在做低功耗的时候&#xff0c;看门狗还是会…

【STM32笔记】HAL库低功耗STOP停止模式的串口唤醒(解决串口唤醒和回调无法一起使用的问题)

【STM32笔记】HAL库低功耗停止模式的串口唤醒&#xff08;解决串口唤醒时钟问题&#xff09; 前文&#xff1a; blog.csdn.net/weixin_53403301/article/details/128216064 【STM32笔记】HAL库低功耗模式配置&#xff08;ADC唤醒无法使用、低功耗模式无法烧录解决方案&#xf…

【工具使用】STM32CubeMX-Uart配置 及 数据收发功能实现

一、概述 无论是新手还是大佬&#xff0c;基于STM32单片机的开发&#xff0c;使用STM32CubeMX都是可以极大提升开发效率的&#xff0c;并且其界面化的开发&#xff0c;也大大降低了新手对STM32单片机的开发门槛。     本文主要讲述STM32芯片的Uart的配置及其相关知识。Uart…

STM32F4_电容触摸按键

目录 1. 电容触摸按键简介 2. 触摸按键的原理 3. 硬件分析 4. 检测电容触摸按键的过程 5. 库函数配置触摸按键 6. 实验程序 6.1 main.c 6.2 tpad.c 6.3 tpad.h 1. 电容触摸按键简介 在STM32F4的开发板的右下侧&#xff0c;有一小块的覆铜区域TPAD&#xff0c;此区域称…

电源电压监测(SVD)

概述 电源检测电路主要用来监测外部主电源的供电情况&#xff0c;及时检测到外部主电源欠压或恢复的情况&#xff0c;并 给出中断信号。电源检测电路可关断或周期使能以节省功耗。 特点&#xff1a; ⚫ 监测主电源&#xff0c;电压低于或高于设定的阈值时产生中断 ⚫ 低压检测范…

【数电基础】——逻辑代数运算

目录 1.概念 1.基本逻辑概念 2.基本逻辑电路&#xff08;与或非&#xff09; 逻辑与运算 与门电路&#xff1a; 逻辑或运算 或门电路&#xff1a; ​逻辑非运算&#xff08;逻辑反&#xff09; 非门电路​编辑 3.复合逻辑电路&#xff08;运算&#xff09; 与非逻辑…

STM32开发(十六)STM32F103 片内资源 —— 实时时钟RTC 详解

文章目录 一、基础知识点二、开发环境三、STM32CubeMX相关配置四、Vscode代码讲解五、结果演示串口显示乱码解决方案 一、基础知识点 本实验通过stm32片内资源RTC实现实时时钟&#xff0c;通过数码管显示时间。设定闹钟&#xff0c;实现准点报时。 数码管相关知识点&#xff1…

大件事——100篇文章帮助小白顺利进入嵌入式领域

哈喽伙伴们&#xff0c;最近有很多刚入门的小白找到我&#xff0c;让我给一些学习方向。作为一个从嵌入式领域摸爬滚打到现在的“前辈”来说&#xff0c;对于每个小伙伴我都想倾囊相助&#xff0c;但是奈何本人的精力实在有限。所以综合考虑下&#xff0c;决定在这里开一个专栏…

STM32定时器实现红外接收与解码

1.NEC协议 红外遥控是一种比较常用的通讯方式&#xff0c;目前红外遥控的编码方式中&#xff0c;应用比较广泛的是NEC协议。NEC协议的特点如下&#xff1a; 载波频率为 38KHz8位地址和 8位指令长度地址和命令2次传输&#xff08;确保可靠性&#xff09;PWM 脉冲位置调制&#…

基于PLC系统的设计_kaic

​ 摘要 PLC警报器系统是一种基于可编程逻辑控制器&#xff08;PLC&#xff09;的警报器系统&#xff0c;主要用于在紧急情况下向公众发出警报信号。本文将介绍PLC警报器系统的设计摘要&#xff0c;包括系统结构、硬件设计、软件设计等方面。PLC警报器系统由PLC、声音输出模块、…

100篇帮小白入门——什么是嵌入式系统?

嵌入式系统是指一种基于微处理器或微控制器的特定应用系统&#xff0c;通常在某个产品或系统中被嵌入到一个电路板或芯片中。这种系统通常用于控制和监测各种设备和系统&#xff0c;例如汽车、工业机器人、智能家居和医疗设备等。嵌入式系统的应用广泛&#xff0c;其使用范围覆…

【STM32CubeMX】F103串口通信

前言 本文记录下我学习STM32CubeMX时的流程&#xff0c;系统板是基于STM32F103C6T6&#xff0c;方便以后回忆。本章记录串口通信。这里居然有玄学问题&#xff0c;给我整了好久&#xff0c;头都大了。可能也是我能力有限才有的吧&#xff0c;泪目。 目录 串口通信 串口通信 …

系统复位和内核复位

Ⅰ、写在前面某些系统允许复位&#xff0c;但对外设又有特殊要求&#xff1a;某一个IO状态不能因为复位而改变&#xff0c;某一个定时器计数器不能改变等。例子&#xff1a;我一个A系统通过一个IO控制另一个B系统的电源&#xff0c;而这个IO置高时才开启B系统的电源。 正常工作…

RTC使用野火例程,LSE复位可用,HSE和LSI不可用问题。

通 过 设 置 备 份 域 控 制 寄 存 器 (RCC_BDCR) 里 的 RTCSEL[1:0] 位 &#xff0c; RTCCLK 时 钟 源 可 以 由HSE/128、 LSE或LSI时钟提供。除非备份域复位&#xff0c;此选择不能被改变。LSE时钟在备份域里&#xff0c;但HSE和LSI时钟不是。因此&#xff1a;● 如果LSE被选…

力芯威Type-C PD控制芯片ET7301BY / ET7303兼容FUSB302

随着USB Type-C&#xff08;也称USB-C 或Type-C&#xff09;接口被强力推广&#xff0c;广泛应用于&#xff1a;智能手机、PC/平板、硬盘、电视、可穿戴、车载等。 无锡力芯微推出TYPE-C控制芯片ET7301BY / ET7303完美兼容FUSB302 它支持 usb 类型 c 连接器应用与配置通道(c…

proteus仿真出错:VCC and GND nets are connected - check net GND

目录 问题 错误复现 解决办法 问题 当我们在用proteus仿真时&#xff0c;可能会出现以下错误 错误复现 解决办法 供电网配置错误&#xff0c;按以下操作纠正 ​​​​​​​ ​​​​​​​

5路 line sensor+HC06,tim2 as baudrate 9600 stc89c52

#include<reg52.h> typedef unsigned int u16; typedef unsigned char u8;sbit ENB P1^7; //左电机使能 sbit IN1 P1^5; //为0左轮反转 sbit IN2 P1^6; //为0左轮正转sbit IN3 P1^4; //为0右轮反转 sbit IN4 P1^3; //为0右轮正转 sbit ENA P1^2; //右点…

Keil5 点击Debug Setting 软件崩溃解决方法

因为我我打开另外一个程序是可以仿真的&#xff0c;所以没有考虑keil5软件自身的问题。 1、有中文路径&#xff0c;然后剪切到没有中文路径的文件夹——>未解决 2、打开注册表 WinR键呼出“运行”&#xff0c;在框内输入“Regedit ”&#xff0c;回车打开注册表。 如果弹…

xunji01

#include<reg52.h> typedef unsigned int u16; typedef unsigned char u8;sbit ENB P1^7; //左电机使能 sbit IN1 P1^6; //为0左轮反转 sbit IN2 P1^5; //为0左轮正转sbit IN3 P1^3; //为0右轮反转 sbit IN4 P1^4; //为0右轮正转 sbit ENA P1^2; //右点…

2021-09-19matlab距离角度代码,俯仰扫描

clc clear close all sum0; H0input("输入垂直距离,单位mm"); L0input("输入水平距离,单位mm"); N0input("输入水平距离,数据个数"); a(1)atan(H0/L0); b(1)a(1)*180/3.14159 disp(a) for i2:N0sumsuma(i-1);a(i)atan((i)*H0/L0)-sum;b(i)a(i)*…

STM32运行Rust测量温湿度海拔和气压

条件 安装了 Rust 工具链的电脑。我只运行 Linux&#xff0c;但以下可能也可以在 MacOS 上运行&#xff0c;甚至可能在 Windows 上运行。带有 STM32F103 微控制器的电路板。这种控制器最常见的开发板可能是“BluePills”。一个编程器&#xff0c;STM芯片最便宜的编程器之一是S…

利用STM32CubeMX软件生成USB_HOST_HID连接鼠标和键盘扫码枪

一、测试平台&#xff1a; MCU:STM32F429IGT6 工具&#xff1a;STM32CubeMX软件 编译软件:MDK 二、配置步骤 (1).打开STM32CubeMX软件&#xff0c;创建新的工程文件&#xff0c;先生成一个带有freertos操作系统的串口1例程&#xff0c;生成串口的例程这里不再详细介绍。 (2).配…

ubuntu下stm32f407环境(正点原子)

1.交叉编译 sudo apt install binutils-arm-none-eabi sudo apt install gcc-arm-none-eabi sudo apt install gdb-arm-none-eabi如果没有gdb-arm-none-eabi 请看https://zhuanlan.zhihu.com/p/134031693 2.安装stlink 1.安装libusb sudo apt-get install libusb-dev git clo…

我对位带操作的新认识

接触STM32快一年了&#xff0c;前段时间才偶尔听到一个叫位带操作的概念... 惭愧惭愧 经过查阅前辈们的经验和相关资料&#xff0c;有了点认识在此记录一下&#xff0c;有不正确的地方请多多指教 /***********************************************万能分割线*****************…

基于I2C硬件协议的AHT20温湿度传感器的数据采集

基于I2C硬件协议的AHT20温湿度传感器的数据采集一、I2C协议简介1、I2C物理层2、I2C协议层二、软件I2C和硬件I2C&#xff08;1&#xff09;软件I2C&#xff08;2&#xff09;硬件I2C三、AHT20温湿度传感器的数据采集四、参考资料一、I2C协议简介 2C 通讯协议(Inter&#xff0d;I…

STM32基于软件模拟IIC进行AHT21B温湿度采集

STM32基于软件模拟IIC进行AHT21B温湿度采集一、 IIC简介1.1 IIC简介1.2 实现方式1.3 实现方式对比二、 AHT21B简介2.1 简介2.2 产品特点2.3 外观2.4 技术参数2.5 引脚定义三、 工程编写3.1 实验要求3.2 主要代码3.3 烧录3.4 结果展示四、 总结五、 参考资料摘要&#xff1a;通过…

计算机组成原理第五章----存储器容量的扩展与芯片连接

目录 存储器芯片与CPU的连接 典例 典例二 主存储器容量的扩展与连接方法 位拓展 字拓展 例题 主存大小计算 总结&#xff1a; 存储器芯片与CPU的连接 1. 确定所需芯片的数量&#xff08;可以通过计算得出&#xff09; 2. 确定每个芯片的分配地址 &#xff08;区分最…

STM32F412擦除内部FLASH时间过长

1 前言 客户反馈在使用STM32F412的时候&#xff0c;擦除sector 8~11发现时间过长&#xff0c;从而导致意外触发IWDG复位。 2 问题分析 2.1 问题详情 通过与客户邮件和电话沟通&#xff0c;了解到客户主要是想使用内部FLASH暂时保存IAP升级时的程序数据&#xff0c;在IAP升级…

stm32之串口通信

在基础实验成功的基础上&#xff0c;对串口的调试方法进行实践。硬件代码顺利完成之后&#xff0c;对日后调试需要用到的printf重定义进行调试&#xff0c;固定在自己的库函数中。 b) 初始化函数定义&#xff1a; void USART_Configuration(void); //定义串口初始化函数 c) …

Vscode开发STM32单片机程序

STM32单片机非常强大&#xff0c;大多数教程都是使用 keil 编译器&#xff0c;keil 是收费的而 gcc 是开源免费的。这里介绍一些使用 gcc vscode 开发单片机程序的经验。&#xff08;这里不解释 gcc 是什么&#xff09; 环境准备 不好意思&#xff0c;我要开始砸 [传资源收费…

红外光电测速传感器电路设计

主控芯片&#xff1a;光电传感器ITR8307   一。原理图 光电二极管发射红外光&#xff0c;如果有障碍物的话红外光线会被反射回来&#xff0c;被感应到后光电三极管导通。如果没有障碍物就不会导通。 二。安装 当白色部分遮挡时&#xff0c;红外光被反射回来&#xff0c;光电…

嵌入式单片机之STM32F103C8T6最小系统板电路设计参考

。STM32F103C8T6最小系统板电路设计 一。电源部分 设计了一个XH插座&#xff0c;以便使用3.7V锂电池供电&#xff0c;接入电压不允许超过6V。 二。指示灯部分 电源指示灯可以通过一个短路帽控制亮灭&#xff0c;以达到节电的目的。 三。复位电路 四。按键电路 KEY_1为…

关于STM32 (Cortex-M3) 中NVIC的分析

一、STM32 (Cortex-M3) 中的优先级概念 STM32(Cortex-M3)中有两个优先级的概念&#xff1a;抢占式优先级和响应优先级&#xff0c;也把响应优先级称作“亚优先级”或“副优先级”&#xff0c;每个中断源都需要被指定这两种优先级。 1. 何为占先式优先级(pre-emption priority…

不可错过的单片机STM32的5个时钟源知识

众所周知STM32有5个时钟源HSI、HSE、LSI、LSE、PLL&#xff0c;其实他只有四个&#xff0c;因为从上图中可以看到PLL都是由HSI或HSE提供的。 其中&#xff0c;高速时钟(HSE和HSI)提供给芯片主体的主时钟.低速时钟(LSE和LSI)只是提供给芯片中的RTC(实时时钟)及独立看门狗使用&a…

树莓派C++开发机器人智能小车(5)智能小车底盘及电机

开始建造机器人智能小车之前,选择好的小车底盘是最重要的活动之一。机器人底盘就像人类的骨骼。我们的骨骼由骨头组成,为我们的器官提供支撑。同样的,好的底盘会提供为电子组件提供合适的支持并保持一体。我们可以直接从网上购买,挑选的时候注意: (1)有的智能小车是单个…

基于PAJ7620的主从机通信远程控制蓝牙智能小车

这是大三上传感器大作业做的一个主从机控制小车。期间参考了网上的一些博客&#xff0c;涉及主从机通信、蓝牙配置、传感器的使用等。 文章目录一、实物图片二、系统架构三、主要外设四、手势控制对应关系五、应用场景与待改进六、参考文章七、代码实现一、实物图片 二、系统架…

(1)_什么是嵌入式?

一、什么是嵌入式&#xff1f; 全称&#xff1a;嵌入式系统 用于控制、监控或者辅助 操作机器和设备的装置 二、嵌入式系统的组成&#xff1a;嵌入式硬件、嵌入式软件 1. 嵌入式硬件&#xff1a; &#xff08;1&#xff09;处理器&#xff1a;CPU &#xff08;2&#xff0…

STM32开发注意内容

一、C语言开发及编译文件 程序开发的目的一般是生成一个可以烧写到MCU内部Flash的文件&#xff0c;CPU上电后&#xff0c;CPU的硬件取指系统会自动的读取并执行Flash中的指令序列。程序一般是用C进行编辑。 C编程的基本策略是&#xff0c;用程序把源代码文件转化为可执行文件…

嵌入式软件开发框架--时间片轮询法

一. 参考&#xff1a;嵌入式软件开发常用的三种架构 /*** brief 任务函数相关信息结构体定义.*/ typedef struct{uint8 m_runFlag; /*!< 程序运行标记&#xff1a;0-不运行&#xff0c;1运行 */uint16 m_timer; /*!< 计时器 */uint1…

STM32F407ZGT6驱动光栅尺

STM32F407ZGT6驱动光栅尺 光栅尺的型号 我在找这个光栅尺的型号和资料上花了挺多时间的&#xff0c;网上根本搜不到这个型号&#xff0c;光栅尺上写的生产公司长春光兴禹恒公司&#xff0c;已经不生产光栅了&#xff0c;它的官网上也没有关于这个光栅尺的资料。最后我把测头拆…

stm32添加了固件库但是找不到h文件

stm32添加了固件库但是找不到h文件 像我就会经常使用别的的工程&#xff0c;有时候在上面添加一个功能可能会遇见这种情况。 . …\SYSTEM\adc\adc.c(12): error: #20: identifier “ADC_InitTypeDef” is undefined ADC_InitTypeDef ADC_InitStructure; 那是因为虽然我们添加了…

PA15用作普通IO口的初始化

PA15用作普通IO口的初始化 PA15是被JTAG占用了&#xff0c;如果想当做普通IO口使用的话只需要在初始化时加上下面两句代码。 // An highlighted block RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOB|RCC_APB2Periph_AFIO, ENABLE); GPIO_PinRemapConfig(GPIO_Remap_SWJ_Disab…

STM32无线网络监控传感器数据

介绍 在此项目中&#xff0c;我们将首先创建一个无线传感器节点。 传感器节点由四个基本组件组成&#xff0c;例如传感单元&#xff0c;处理单元&#xff0c;收发器单元和电源单元。 传感单元可以由任何传感器组成。 我正在使用BME280气压传感器。 处理单元是STM32F103C微控制…

STM32F103编译环境安装

1.安装KEIL安装包链接&#xff1a;链接&#xff1a;https://pan.baidu.com/s/1yeguqiSoHmycVblptGuOSA 密码&#xff1a;j0ym点击这个&#xff0c;只需点下一步安装即可&#xff0c;自定义安装目录&#xff08;注意在全英文目录下&#xff09;出现这个关闭就行了2.安装支持包添…

总结STM32嵌入式面试知识点

一、STM32F1和F4的区别&#xff1f; 内核不同&#xff1a;F1是Cortex-M3内核&#xff0c;F4是Cortex-M4内核&#xff1b;主频不同&#xff1a;F1主频72MHz&#xff0c;F4主频168MHz&#xff1b;浮点运算&#xff1a;F1无浮点运算单位&#xff0c;F4有&#xff1b;功能性能&…

stm32定时器输出比较模型翻转电平驱动步进电机

玩转步进电机第二转 文章目录玩转步进电机第二转前言一、输出IO&#xff0c;定时器配置二、步进电机定位1.定时器TIM2中断函数2.接下来的是一个设置步进电机角度转脉冲函数的实现总结前言 这一篇来讲讲stm32当中主要控制步进电机的方法&#xff0c;也就是通过配置我们的定时器…

基于STM32F769I-DISCO开发板实现Embedded Wizard界面应用入门指南

本文主要介绍了创建一个用于STM32F769探索板的Embedded Wizard界面应用的所有必要步骤。请仔细按照这些指示&#xff0c;一步步进行操作&#xff0c;以确保您可以在目标开发板上运行结果。此外&#xff0c;本文假设您熟悉Embedded Wizard的基本概念。 前提条件 首先&#xff…

使用STM32L053探索板上的IDD电流检测功能

意法半导体的STM32L053探索板采用STM32L0超低功耗系列微控制器STM32L053C8 MCU。除此之外&#xff0c;该开发板还包含一个可由MCU读取的电流检测模块&#xff0c;可以监测其自身的电流消耗。不幸的是&#xff0c;由于缺乏关于此功能的文档&#xff0c;所以其很难用于评估的目的…

vscode 搭建STM32开发环境

1.需要软件 1.1 vscode 1.2 STM32CubeMX&#xff0c;这个不是必须的&#xff0c;我是为了方便生成STM32代码 2.vscode配置 2.1安装keil Assistant 2.2配置keil Assistant 3.STMCUBE生成个STM32代码 &#xff0c;如果有自己的代码可以忽略 4.代码添加到vscode&#xff0c;并…

STM32 F103C8T6学习笔记3:串口配置—串口收发—自定义Printf函数

今日学习使用STM32 C8T6的串口&#xff0c;我们在经过学习笔记2的总结归纳可知&#xff0c;STM32 C8T6最小系统板上有三路串口&#xff0c;如下图&#xff1a; 今日我们就着手学习如何配置开通这些串口进行收发&#xff0c;这里不讲串口通信概念与基础&#xff0c;可以自行网上…

【STC8A8K64S4A12开发板】—4x4矩阵按键检测

版权声明&#xff1a;本文为博主原创文章&#xff0c;转载请附上原文出处链接。 文章目录前言一、硬件电路设计1.矩阵按键检测介绍2.矩阵按键检测原理介绍二、软件设计1.矩阵按键扫描实验 – 指示灯闪烁1.1.工程需要用到的c文件1.2.头文件引用和路径设置1.3.编写代码1.4.硬件连…

K_A35_017 基于STM32等单片机驱动TTP229矩阵触摸传感器 串口与OLED0.96双显示

K_A35_017 基于STM32等单片机驱动TTP229矩阵触摸传感器 串口与OLED0.96双显示 所有资源导航一、资源说明二、基本参数参数引脚说明 三、驱动说明时序:对应程序: 四、部分代码说明1、接线引脚定义1.1、STC89C52RCTTP229矩阵触摸模块1.2、STM32F103C8T6TTP229矩阵触摸模块 五、基…

stm32cubemx IAP升级(四)

stm32cubemx IAP升级- 配置i2c从机 上一章讲了串口的方式升级&#xff0c;但是在android平台上&#xff0c;对mcu的升级还是i2c用的多一点&#xff0c;所以我们还配置了i2c从机&#xff0c;也是多一种升级方案嘛&#xff01; stm32 配置i2c从机&#xff0c;且采用dma的方式收…

基于STM32L431+Liteos的串口空闲中断加DMA循环接收

①MCU为STM32L431&#xff0c;使用串口2。 ②Liteos采用接管中断的方式。 STM32CubeMX配置生成串口代码&#xff1a; 串口DMA接收和发送配置区别是接收采用循环模式&#xff0c;发送为正常模式。 将生成的代码移植到liteos工程中&#xff0c;由于使用的接管中断的方式&#…

毕业设计答辩常见问题汇总

毕设答辩常见问题汇总 1、P0 口需不需要加上拉电阻问题&#xff1f;2、本课题的选课背景、意义等等&#xff1f;3、数码管采用的是什么扫描方式&#xff1f;4、蜂鸣器或继电器的驱动三极管为什么选用pnp型的&#xff08;9012、8550&#xff09;&#xff0c;而不是npn型的&#…

Simulink 自动代码生成电机控制:开发板DAC接口辅助调试的方法

目录 前言 DAC基本原理 PWM模拟DAC DAC底层代码配置 DAC调试演示 总结 前言 DAC是比较常用的数字转模拟单元&#xff0c;通过给定数字量&#xff0c;输出一个模拟信号&#xff0c;有比较广泛的用途&#xff0c;在这里只讨论DAC作为一个调式手段帮助打印出电机控制里面的一…

K_A36_002 基于STM32等单片机驱动继电器点灯 串口与OLED0.96双显示

K_A36_002 基于STM32等单片机驱动继电器点灯 串口与OLED0.96双显示 所有资源导航一、资源说明二、基本参数参数引脚说明 三、驱动说明模块工作原理:对应程序: 四、部分代码说明1、接线引脚定义1.1、STC89C52RC继电器模块1.2、STM32F103C8T6继电器模块 五、基础知识学习与相关资…

stm32cubemx IAP升级(二)

stm32cubemx IAP升级- App的制作 板卡&#xff1a;Nucleo-L412 平台&#xff1a;macbook pro 工具&#xff1a;vscode stm32cubemx stm32cubeProgramer cmake toolchain 整体思路 将App设置为从0x08007000地址启动&#xff0c;然后初始化一路串口用作接收上位机的升级数据&a…

FreeRTOS:中断配置

目录 一、Cortex-M 中断1.1中断简介1.2中断管理简介1.3优先级分组定义1.4优先级设置1.5用于中断屏蔽的特殊寄存器1.5.1PRIMASK 和 FAULTMASK 寄存器1.5.2BASEPRI 寄存器 二、FreeRTOS 中断配置宏2.1configPRIO_BITS2.2configLIBRARY_LOWEST_INTERRUPT_PRIORITY2.3configKERNEL_…

51单片机(八)串口通信

❤️ 专栏简介&#xff1a;本专栏记录了从零学习单片机的过程&#xff0c;其中包括51单片机和STM32单片机两部分&#xff1b;建议先学习51单片机&#xff0c;其是STM32等高级单片机的基础&#xff1b;这样再学习STM32时才能融会贯通。 ☀️ 专栏适用人群 &#xff1a;适用于想要…

TIM输入捕获-STM32

TIM输入捕获-STM32 IC(Input Capture) 输入捕获 输入捕获模式下&#xff0c;当通道输入引脚出现指定电平跳变时&#xff0c;当前CNT的值将被锁存到CCR中&#xff0c;可用于测量PWM波形的频率、占空比、脉冲间隔、电平持续时间等参数 每个高级定时器和通用定时器都拥有4个输入捕…

51单片机(九)LED点阵屏

❤️ 专栏简介&#xff1a;本专栏记录了从零学习单片机的过程&#xff0c;其中包括51单片机和STM32单片机两部分&#xff1b;建议先学习51单片机&#xff0c;其是STM32等高级单片机的基础&#xff1b;这样再学习STM32时才能融会贯通。 ☀️ 专栏适用人群 &#xff1a;适用于想要…

从电容的阻抗曲线看滤波

电容在电路中很大一部分作用是用来滤波的&#xff0c;比如常常在芯片的电源引脚加上电容来滤除纹波和噪声。 电容在交流信号下的等效电路是一个电阻电感电容的串联&#xff0c;这个电阻称为等效串联电阻&#xff0c;电感称为等效串联电感 。 它的阻抗计算公式是这个 这个是电容…

K_A16_001 基于STM32等单片机驱动HX711称重模块 串口与OLED0.96双显示

K_A16_001 基于STM32等单片机驱动HX711称重模块 串口与OLED0.96双显示一、资源说明二、基本参数参数引脚说明三、驱动说明对应程序:四、部分代码说明1、接线引脚定义1.1、STC89C52RCHX711称重模块1.2、STM32F103C8T6HX711称重模块五、基础知识学习与相关资料下载六、视频效果展…

我的PID学习历程---PID位置式和增量式

文章目录起因一、什么是开环系统&#xff1f;二、什么是PID&#xff1f;KP&#xff0c;KI&#xff0c;KD三个参数的作用三、PID算法的离散化1、什么是位置式PID&#xff1f;2、位置式PID实现3、什么是增量式PID&#xff1f;4、增量式PID实现四、采用VOFA调试PIDfirewater协议格…

为什么有时候磁珠会使电源的纹波变大

电路设计时&#xff0c;我们常常在芯片电源的输入放一个磁珠和电容&#xff0c;用以滤除电源上的高频噪声。 但是有时候会发现&#xff0c;加了磁珠后&#xff0c;芯片电源输入处纹波竟然变大了&#xff0c;超出了电源纹波范围&#xff0c;导致芯片工作异常。 把磁珠换成0R电阻…

STM32F103RCT6 -- 基于FreeRTOS 的USART1 串口通讯

1. 在STM32F103RCT6 单片机上跑FreeRTOS 实时操作系统&#xff0c;使用串口USART1 通讯&#xff0c;发送 – 接收数据&#xff0c;实现上位机与下位机的通信 使用 FreeRTOS 提供的队列&#xff08;Queue&#xff09;机制来实现数据的接收和发送 2. USART1 配置&#xff1a; …

STCube 串口通信开发过程/STM32F334串口通信程序源码工程--亲测直接可用

目录 一.参数选项修改 1.模式选择 2.硬件流控制: 3.基本参数设置 a.引脚参数配置图

rt-thread汇总

finish和msh的区别&#xff1f; 这个问题我一直没搞懂&#xff0c;可能得看一下源码才能搞清楚了吧 通过Qemu运行RT-Thread 在windows上通过QEMU快速上手RT-thread smart RT-thread启动流程 rt-thread启动流程 Kconfig语法 Kconfig语法 关于终端的一些问题 终端的管脚配置…

嵌入式开发从入门到精通之第二十二节:蓝牙芯片CC254x ADC 电压测量

目录 1. CC254x ADC原理 参考电压 采样通道 采样率 采样时间

【stm32开发】stm32+oled最小系统板资料(原理图、PCB、示例代码)【六一】

&#x1f389;欢迎来到stm32专栏~stm32oled最小系统板 ☆* o(≧▽≦)o *☆嗨~我是小夏与酒&#x1f379; ✨博客主页&#xff1a;小夏与酒的博客 &#x1f388;该系列文章专栏&#xff1a;stm32专栏 文章作者技术和水平有限&#xff0c;如果文中出现错误&#xff0c;希望大家能…

智能离网微逆变系统

文章目录 一、功能描述二、硬件部分2.1、单片机选型及中断号2.1.1、引脚分配 2.2、EG80102.3、控制电路图2.4、主电路图 三、代码流程图四、代码部分展示4.1、主函数4.2、modbus 五、项目演示 一、功能描述 把风光能&#xff0c;逆变为可调压调频的交流电可通过串口屏&#xf…

玩转ESP32 PWM输出,制作炫酷呼吸灯效果

文章目录 什么是PWM软硬件使用ESP32实现PWM输出代码讲解结语 什么是PWM PWM&#xff08;Pulse Width Modulation&#xff09;是一种常用的模拟信号产生技术&#xff0c;它通过对一个定时器的计数值进行调整来改变输出信号的占空比&#xff0c;从而控制输出信号的平均电压值&am…

51单片机(四)静态数码管和动态数码管显示

❤️ 专栏简介&#xff1a;本专栏记录了从零学习单片机的过程&#xff0c;其中包括51单片机和STM32单片机两部分&#xff1b;建议先学习51单片机&#xff0c;其是STM32等高级单片机的基础&#xff1b;这样再学习STM32时才能融会贯通。 ☀️ 专栏适用人群 &#xff1a;适用于想要…

Notes06: 自写库_编写端口复位置位函数

Notes06: 自写库_编写端口复位置位函数野火霸道开发板学习笔记信息说明编写端口复位置位函数添加RCC寄存器结构体定义端口置位函数端口复位函数C语法补充宏定义说明main.c文件stm32f10x.h文件stm32f10x_gpio.h文件stm32f10x_gpio.c文件startup_stm32f10x_hd.s文件野火霸道开发板…

STM32CubeMXA安装和创建项目

STM32CubeMXA安装和创建项目 安装STM32CubeMXA STM32CubeMX 运行环境搭建包含两个部分。首先是 Java 运行环境安装&#xff0c;其次是 STM32CubeMX 软件安装。 安装 JAVA 环境 对于 Java 运行环境&#xff0c;大家可以到 Java 官网 www.java.com 下载最新的 Java 软件 安装…

树莓派与STM32(rt1064)串口通信

目录 一、树莓派通信 1、硬件连线准备 2、安装Serial和打开树莓派串口 2.1安装Serial 2.2打开树莓派串口 2.3修改串口映射关系 3、树莓派代码 4、上位机 5、运行uart.py代码进行测试 5.1 树莓派发送&#xff0c;上位机接收 5.2上位机发送&#xff0c;树莓派接收 二、…

51单片机入门

文章目录 一、安装keil5及proteus二、MCS-51单片机结构与原理(一).8051单片机基本组成(二).8051单片机引脚1.电源引脚2.时钟电路引脚3.控制信号引脚4.输入/输出端口 (三) 并行输入/输出端口结构 三、单片机cx51编程基础(一).变量定义(二).数据类型(三).存储类型(四).Cx51语言程…

开发环境搭建和创建STM32工程

目录 一、开发环境搭建 1. STM32CubeMX 2.Keil安装 二、创建STM32工程 一、开发环境搭建 1. STM32CubeMX ST公司出品 工具链接 https://www.st.com/zh/development-tools/stm32cubemx.html STM32CubeMX是一种图形工具&#xff0c;通过分步过程可以非常轻松地配置STM32微控制器和…

STM32-光敏传感器实验

光敏传感器的主要是光敏二极管&#xff0c;核心是PN结&#xff0c;利用了光电效应&#xff0c;对光强很敏感&#xff0c;有单向导电性&#xff0c;工作时需要加反向电压。光照越强&#xff0c;等效电阻越小。 实验要求通过ADC3通道6&#xff08;PF8&#xff09;采集光敏二极管…

# 2023/5/17 用户程序控制三盏灯的亮灭

2023/5/17 用户程序控制三盏灯的亮灭 led1&#xff1a;0&#xff1a;灭&#xff1b;1&#xff1a;亮 led2&#xff1a;0&#xff1a;灭&#xff1b;1&#xff1a;亮 led3&#xff1a;0&#xff1a;灭&#xff1b;1&#xff1a;亮 输入格式&#xff1a;例&#xff1a;000、101 …

如何使用Understand软件查看STM32单片机HAL库函数调用关系

在使用STM32单片机的HAL库函数编程时&#xff0c;会发现好多中断函数里面都有各种回调函数&#xff0c;还有好多函数的调用深度比较深&#xff0c;在编写代码的时候&#xff0c;有时候想查看某个函数是如何被调用的&#xff0c;查看起来非常麻烦。这时候就可以使用Understand软…

还在老一套?STM32使用新KEIL5的IDE,全新开发模式RTE介绍及使用

Keil新版本出来了&#xff0c;推出了一种全新开发模式RTE框架( Run-Time Environment)&#xff0c;更好用了。然而网上的教程资料竟还都是把Keil5当成Keil4来用&#xff0c;直接不使用这个功能。当前正点原子或野火的教程提供的例程虽有提到Keil5&#xff0c;但也是基本上当Kei…

STM32(一)准备开发环境CLion+CubeMX

本篇内容 一、CLion和STM32CubeMX基础安装二、安装OpenOCD三、安装交叉编译工具链四、配置CLion并点亮第一个LED灯五、烧录程序六、错误排查 本篇安装配置STM32的开发环境&#xff0c;使用的是稚晖君同款CLionSTM32CubeMX的开发环境 一、CLion和STM32CubeMX基础安装 软件安装只…

(GCC)从零开始的ETH开发--STM32F407ZGTX

开发环境&#xff1a; windows10 软件&#xff1a; STM32CubeMX最新版本&#xff1a; gcc工具链&#xff1a; vscode 硬件&#xff1a; STM32F407ZGT6开发板&#xff0c;ETH原理图&#xff1a; ​ 根据硬件可知MAC和PHY之间的接口类型为RMII&#xff0c;且PHY使用的外…

ESP8266连接 TLink 云平台

1.硬件准备 &#xff08;1&#xff09;正点原子 ATK-ESP-01 WIFI 模块 &#xff08;2&#xff09;正点原子 STM32F103ZET6精英板子 &#xff08;3&#xff09;USB转TTL模块 2.烧录固件 &#xff08;1&#xff09;烧录软件和固件都可以在正点原子增值资料包找到。 &#xff08;2…

(4.2)STM32中断系统

目录 1.中断基本概念 2.中断的意义 3.中断处理过程 4. 中断体系结构 5.NVIC 6.EXTI 1.中断基本概念 在处理器中&#xff0c;中断相当于对于突发事件的处理过程。 当遇到内部/外部的紧急事件需要处理时&#xff0c;暂时中止当前程序&#xff0c;转而去处理紧急事件&#xff0c; …

一文会用断码屏

断码屏的使用 1、断码屏显示文字原理 我理解应该是偏压原理达到显示效果的。 LCD驱动分为A型、B型&#xff0c;如果LCD偏压类型为C型&#xff0c;固定为 1/3 偏压。 由数据手册得知&#xff0c;以下&#xff1a; LCD 驱动器提供的 COM 和 SEG 输出数目&#xff0c;以及偏压…

STM32芯片的内部架构介绍

STM32芯片由内核和片上外设两部分组成。STM32F103采用Cortex-M3内核&#xff0c;该内核由ARM公司设计。芯片生产厂商ST则负责在内核之外设计部件并生产整个芯片。这些内核之外的部件被称为核外外设或片上外设&#xff0c;如GPIO、USART&#xff08;串口&#xff09;、I2C、SPI等…

stm32项目(8)——基于stm32的智能家居设计

目录 一.功能设计 二.演示视频 三.硬件选择 1.单片机 2.红外遥控 3.红外探测模块 4.光敏电阻模块 5.温湿度检测模块 6.风扇模块 7.舵机 8.WIFI模块 9.LED和蜂鸣器 10.火焰传感器 11.气体传感器 四.程序设计 1.连线方式 2.注意事项 3.主程序代码 五.课题意义…

C++实现一键关闭桌面

方法一&#xff1a; C关闭桌面,explorer.exe #include<Windows.h> #include <TlHelp32.h> #include"resource.h" #pragma warning(disable:4996) void taskkill(const char * name) {HANDLE info_handle CreateToolhelp32Snapshot(TH32CS_SNAPPROCESS,…

022 - STM32学习笔记 - 扩展外部SDRAM(一) - 初识SDRAM和FMC

022 - STM32学习笔记 - 扩展外部SDRAM&#xff08;一&#xff09; - 初识SDRAM和FMC 之前学习了I2C读写EEPROM和SPI读写FLASH&#xff0c;学完之后在学习一种新的存储介质–SDRAM。 一、初识SDRAM 我们知道在stm32内部是有一定大小的SRAM&#xff08;256Kb&#xff09;和FLA…

【STM32】简介

&#x1f6a9; WRITE IN FRONT &#x1f6a9; &#x1f50e; 介绍&#xff1a;"謓泽"正在路上朝着"攻城狮"方向"前进四" &#x1f50e;&#x1f3c5; 荣誉&#xff1a;2021|2022年度博客之星物联网与嵌入式开发TOP5|TOP4、2021|2022博客之星T…

STM32 F103C8T6学习笔记6:IIC通信__驱动MPU6050 6轴运动处理组件—一阶互补滤波

今日主要学习一款倾角传感器——MPU6050,往后对单片机原理基础讲的会比较少&#xff0c;更倾向于简单粗暴地贴代码&#xff0c;因为经过前些日子对MSP432的学习&#xff0c;对原理方面也有些熟络了&#xff0c;除了在新接触它时会对其引脚、时钟、总线等进行仔细一些的研究之外…

CH344Q/L USB转四串口芯片资料下载(合集)

1、产品手册 CH344DS1.PDF - 南京沁恒微电子股份有限公司CH344技术手册&#xff0c;USB转4串口芯片&#xff0c;支持最高6M波特率与硬件流控&#xff0c;支持USB配置功能&#xff0c;提供RS485方向控制与GPIO等信号引脚&#xff0c;可实现PC等平台扩展多串口或多个串口设备升级…

4路红外循迹模块使用教程

4路红外循迹模块使用教程 文章目录4路红外循迹模块使用教程模块详细信息&#xff1a;模块接线模块使用相关代码个人原创博客&#xff1a;点击浏览模块详细信息&#xff1a; 工作电压&#xff1a;DC 3.3V~5V 工作电流&#xff1a;尽量选择1A以上电源供电 工作温度&#xff1a…

windows怎么查看目标文件.o and windows - 如何使用/安装 GNU binutils (objdump)

GNU binutils-objdump工具 一、windows怎么查看目标文件.o二、安装GNU binutils (objdump)三、使用GNU binutils (objdump)参考资料 一、windows怎么查看目标文件.o 可以使用GNU binutils (objdump)进行查看编译生成的目标文件.o。 二、安装GNU binutils (objdump) 点击下载…

STM32 LL库开发

一、STM32开发方式 标准库开发&#xff1a;Standard Peripheral Libraries&#xff0c;STDHAL库开发&#xff1a;Hardware Abstraction Layer&#xff0c;硬件抽象层LL库开发&#xff1a;Low-layer&#xff0c;底层库 二、HAL库与LL库开发对比 ST在推行HAL库的时候&#xff0c;…

arduino+esp32+oled

使用U8g2库 #include <Arduino.h> #include <U8g2lib.h>U8G2_SSD1306_128X64_NONAME_F_SW_I2C u8g2(U8G2_R0, /* clock*/ 23, /* data*/ 19, /* reset*/ U8X8_PIN_NONE); //scl sdavoid setup(void) {u8g2.begin(); }void drawLogo(void) {u8g2.setFontMode(1); …

【正点原子STM32连载】 第十章 STM32CubeMX简介 摘自【正点原子】STM32F103 战舰开发指南V1.2

1&#xff09;实验平台&#xff1a;正点原子stm32f103战舰开发板V4 2&#xff09;平台购买地址&#xff1a;https://detail.tmall.com/item.htm?id609294757420 3&#xff09;全套实验源码手册视频下载地址&#xff1a; http://www.openedv.com/thread-340252-1-1.html 第十章…

什么是三极管的截止饱和放大

三极管可以工作在三个状态&#xff0c;分别是截止状态&#xff0c;饱和状态和放大状态。 当三级管BE之间的电压小于等于BE间的开启电压UON&#xff0c;并且CE间电压>BE间电压时 &#xff0c;三极管处于截止状态&#xff0c;一般硅管在0.7V左右&#xff0c;锗管在0.3V左右。…

【STM32系列】基础操作及LED测试

【STM32系列】基础操作及LED测试 资源常用网站整理基本操作恢复出厂设置 欢迎收看由咸鱼菌工作室出品的STM32系列教程。本篇内容主要是开发板的基础操作 资源 首先给大家推荐一些学习micropython的资源网站&#xff0c;文字版直接去我的博客里面翻一下 以下是一些Micropyth…

GD32F4x 加密(开启读保护功能)

参考链接1&#xff1a;&#xff08;设置读保护&#xff09; GD32F4x 如何开启读保护功能&#xff08;芯片加密&#xff09;&#xff1f;_EmbeddedOsprey的博客-CSDN博客 参考链接2&#xff1a;读取芯片ID进行加密 《嵌入式 – GD32开发实战指南》第19章 程序加密_gd32大小端…

uCOSii任务管理

uCOSii任务管理 主要用来测试uCOSii“创建任务,挂起任务,恢复任务,发送删除任务请求,删除任务”。 在os_cfg.h中 #define OS_LOWEST_PRIO 63u //设置最低优先级为63,则空闲任务优先级OS_TASK_IDLE_PRIO就等于63 //OS_PRIO_SELF为255,因此OS_LOWEST_PRIO<255 注意&a…

STM32F4_待机唤醒详解

目录 1. 低功耗模式 1.1 降低系统时钟速度 1.2 外设时钟门控 2. 睡眠模式 2.1 进入睡眠模式 2.2 退出休眠模式 3. 停止模式 3.1 进入停止模式 3.2 退出停止模式 4. 待机模式 4.1 进入待机模式 4.2 退出待机模式 4.3 电源控制寄存器&#xff1a;PWR_CR 4.4 电源控…

一文搞懂Bootloader跳转到APP 的方法和原理

一 跳转方法 1、检查栈顶地址是否合法 if (((*(uint32_t*)(NRF52840_APP_BASE)) & 0xffff0000 ) 0x20040000 ){nrf_bootloader_app_start();}在编译生成的APP.bin文件中,前4个字节存放的是__initial_sp&#xff0c;紧接着第二个地址存放的是Reset_Handler&#xff1b;这两…

PY32F072 系列单片机,LQFP64, LQFP48, QFN32, LQFP32多种封装

PY32F072 系列微控制器采用高性能的 32 位 ARM Cortex-M0内核&#xff0c;宽电压工作范围的 MCU。嵌入高达 128Kbytes flash 和 16Kbytes SRAM 存储器&#xff0c;最高工作频率 72MHz。包含多种不同封装类型多款产品&#xff0c;LQFP64, LQFP48, QFN32, LQFP32。 PY32F072芯片…

30Kw AC-DC充电桩模块开发设计

系列文章目录 30Kw AC-DC充电桩模块开发设计 研发过程 系列文章目录30Kw AC-DC充电桩模块开发设计研发背景一、制定研发需求二、选择对标产品1.选择市场上行业领先的产品2.采购产品、进行逆向研制站在巨人肩膀上,你将走得更高、更远三、制定开发计划1.制定计划并分配任务四、…

STM32------GPIO

GPIO 一、介绍 1、1 什么是GPIO&#xff1f; 输入输出端口 1、2 GPIO特点 1、不同封装IO数量不一样。 2、快速翻转&#xff0c;每次翻转最快只需要两个时钟周期。 3、每个IO都可以做中断。 4、支持8种工作模式。 1、3 GPIO电气特性 STM32工作电压范围&#xff1a;2~3…

51单片机(十四)LCD1602

❤️ 专栏简介&#xff1a;本专栏记录了从零学习单片机的过程&#xff0c;其中包括51单片机和STM32单片机两部分&#xff1b;建议先学习51单片机&#xff0c;其是STM32等高级单片机的基础&#xff1b;这样再学习STM32时才能融会贯通。 ☀️ 专栏适用人群 &#xff1a;适用于想要…

51单片机(十三)DS18B20温度传感器

❤️ 专栏简介&#xff1a;本专栏记录了从零学习单片机的过程&#xff0c;其中包括51单片机和STM32单片机两部分&#xff1b;建议先学习51单片机&#xff0c;其是STM32等高级单片机的基础&#xff1b;这样再学习STM32时才能融会贯通。 ☀️ 专栏适用人群 &#xff1a;适用于想要…

微内核、宏内核、混合内核的对比分析

- 什么是内核 宏内核微内核混合内核 - 相关产品分析LinuxRT-Thread 一、什么是内核 内核是操作系统的核心部分&#xff0c;管理着系统的各种资源&#xff0c;是连接应用程序和硬件的一座桥梁&#xff0c;也是直接运行在硬件上的软件实体。内核的存在使得对硬件资源的管理更加…

C51_keil汇编+proteus仿真(小白教程)

所需资源自取 keil v5&#xff1a;https://pan.baidu.com/s/1LAxqKUqQLooo9ZFWI-stwQ?pwd441m 提取码&#xff1a;441m C51芯片安装包 链接&#xff1a;https://pan.baidu.com/s/14oWGMcsOkimzZKZivxH2TA 提取码&#xff1a;yw63 proteus链接 链接&#xff1a;https://pan.bai…

【08】STM32·HAL库开发-HAL库介绍 | STM32Cube固件库介绍 | HAL库框架结构 | 如何使用HAL库及使用注意事项

目录 1.初识HAL库&#xff08;了解&#xff09;1.1CMSIS简介1.2HAL库简介 2.STM32Cube固件包浅析&#xff08;了解&#xff09;2.1如何获取STM32Cube固件包&#xff1f;2.2STM32Cube固件包文件夹简介2.3CMSIS文件夹关键文件2.3.1CMSIS标准规定软件包目录2.3.2Device和Include文…

CCNA之ccna-路由器的telnet试验

我们今天来做个路由试验&#xff0c;r1(s0/0)---r2(s0/1)具体如下&#xff1a;我们打开模拟器配置路由器一&#xff0c;路由器二&#xff0c;点击下一步后&#xff0c;正在生成文件按任意键&#xff0c;我们在看模拟器上点击生成BAT文件来到你存放BAT文件的地方&#xff0c;我们…

浅析CPU高速缓存(cache)

前言 CPU高速缓存是为了解决CPU速率和主存访问速率差距过大问题。本文主要从存储器层次结构和主流cache缓存原理角度&#xff0c;分享解析高速缓存&#xff0c;方便软件编程时写出更加高效的代码&#xff01; 本文主要资料来源是《深入理解计算机系统》高速缓存章节&#xff…

STM32CubeMX工程配置说明

一、STM32CubeMX配置 1.1 设置时钟 单片机的时钟&#xff0c;相当于人的心跳。只要单片机工作&#xff0c;必须要开启时钟&#xff01; STM32单片机共有4个时钟来源&#xff1a; 名称缩写频率外部连接功能用途特性外部高速晶体振荡器HSE4~16MHz4~16MHz晶体 系统时钟/RTC成…

keil下载程序具体过程4:flash下载算法

引言 本篇文章将介绍flash算法文件&#xff0c;阐述从jlink如何下载镜像文件写入到内部的falsh。 一、XIP 在谈flash下载算法文件时&#xff0c;先说明XIP是什么。 芯片的启动方式有很多种&#xff1a;可以从RAM中启动、内部的flash、外部的flash等等&#xff08;还有从sd卡、…

基于单片机的家用智能浇灌系统

1、开发环境 keil5&#xff0c;STM32CubeMX、Altium Designer 2、硬件清单 单片机&#xff1a;STM32F051K8Ux 土壤湿度传感器&#xff1a;TL - 69 温度传感器&#xff1a;DS18B20&#xff08;数字传感器直接输出数字信号&#xff09; OLED屏幕&#xff1a;OLED12864、 水…

STM32 CubeMX (uart_IAP串口)简单示例

STM32 CubeMX [TOC]( STM32 CubeMX (uart_IAP串口)简单示例) 前言 单片机flash有两部分1.IAP程序和2.APP程序&#xff1b;IAP是烧录工具烧录的&#xff0c;App是串口烧录的 #1.IAP程序设置,程序地址 #2.APP程序设置&#xff0c;程序地址 生成bin文件 fromelf.exe --bin -o …

STM32使用IIC通信的引脚配置问题

STM32使用IIC通信的引脚配置问题 在使用IIC通信时&#xff0c;遇到引脚配置问题&#xff0c;记录一下&#xff1a; IIC的两个引脚SDA和SCL都要求既能输入又能输出。 问题&#xff1a; SDA线是由不同的器件分时控制的&#xff0c;这样就会有一个问题&#xff1a;当一个器件主动…

STM32 F103C8T6学习笔记9:0.96寸单色OLED显示屏—自由取模显示—显示汉字与图片

今日学习0.96寸单色OLED显示屏的自由取模显示: 宋体汉字比较复杂&#xff0c;常用字符可以直接复制存下来&#xff0c;毕竟只有那么几十个字母字符&#xff0c;但汉字实在太多了&#xff0c;基本不会全部放在单片机里存着&#xff0c;一般用到多少个字就取几个字的模&#xff…

STM32-ADC多通道输入实验

之前已经介绍了几个ADC的笔记和实验了&#xff0c;链接如下&#xff1a; 关于ADC的笔记1_Mr_rustylake的博客-CSDN博客 STM32-ADC单通道采集实验_Mr_rustylake的博客-CSDN博客 STM32-单通道ADC采集&#xff08;DMA读取&#xff09;实验_Mr_rustylake的博客-CSDN博客 接下来…

IIC通信理解

前言 就个人对IIC通信的理解&#xff0c;通过用图文的方式&#xff0c;尽量简洁的记录下此文。希望能对大家理解IIC通信协议有所帮助。 理解IIC 对于IIC协议的理解&#xff0c;我个人是将完整的IIC时序协议&#xff0c;分成六大块理解。分别是开始条件,结束条件,发送字节,发送字…

Cortext-M3系统:中断的具体行为(6)

1、中断/异常的响应序列 当CM3开始响应一个中断时&#xff0c;会在它小小的体内奔涌起三股暗流&#xff1a; ​ 入栈&#xff1a;把8个寄存器的值压入栈 ​ 取向量&#xff1a;从向量表中找出对应的服务程序入口地址 ​ 选择堆栈指针MSP/PSP&#xff0c;更新堆栈指针SP&#xf…

STM32——SDIO的学习(驱动SD卡)(理论篇)

目录 一、SD卡简介 1.1历史 1.2 tf卡和SD卡的区别 1.3 mmc&#xff0c;emmc&#xff0c;nand&#xff0c;flash的关系 1.4 SD卡的规格等级 1.4.1按容量分 1.4.2 class等级 1.4.3 UHS总线模式 1.4.4 UHS速度等级 1.4.5 VSC视频速度等级 二、SD卡的内部结构 三、SDIO…

51单片机(十七)红外遥控(外部中断)

❤️ 专栏简介&#xff1a;本专栏记录了从零学习单片机的过程&#xff0c;其中包括51单片机和STM32单片机两部分&#xff1b;建议先学习51单片机&#xff0c;其是STM32等高级单片机的基础&#xff1b;这样再学习STM32时才能融会贯通。 ☀️ 专栏适用人群 &#xff1a;适用于想要…

FreeRTOS简单任务创建和任务删除(基于stm32F407)

1. 实验目的 使用动态方法 xTaskCreate()创建任务&#xff0c;使用vTaskDelete()函数删除任务&#xff1b;创建开始任务start_task&#xff0c;在开始任务中创建其他三个任务&#xff0c;创建task1任务实现LED0每500ms闪烁一次&#xff0c;创建task2任务实现LED1每500ms闪烁一…

STM32--ESP8266物联网WIFI模块(贝壳物联)--远程无线控制点灯

本文适用于STM32F103C8T6等MCU&#xff0c;其他MCU可以移植&#xff0c;完整资源见文末链接 一、简介 随着移动物联网的发展&#xff0c;各场景下对于物联控制、数据上传、远程控制的诉求也越来越多&#xff0c;基于此乐鑫科技推出了便宜好用性价比极高的wifi物联模块——ESP…

53、基于51单片机蓄电池充电器过充过放保护LCD液晶屏显示系统设计(程序+原理图+PCB源文件+参考论文+参考PPT+元器件清单等)

方案选择 单片机的选择 方案一&#xff1a;AT89C52是美国ATMEL公司生产的低电压&#xff0c;高性能CMOS型8位单片机&#xff0c;器件采用ATMEL公司的高密度、非易失性存储技术生产&#xff0c;兼容标准MCS-51指令系统&#xff0c;片内置通用8位中央处理器(CPU)和Flash存储单元&…

STM32基于库函数新建工程模板

基于库函数版本 准备资料&#xff1a; a) V3.5 固件库包&#xff1a;STM32F10x_StdPeriph_Lib_V3.5.0 这是 ST 官网下载的固件库完 整版&#xff0c;我们光盘目录&#xff1a; 软件资料\STM32 固件库使用参考资料\STM32F10x_StdPeriph_Lib_V3.5.0 我们官方论坛下载地址&#…

stm32读取DHT11温湿度传感器

stm32读取DHT11温湿度传感器 一.序言二.DHT11响应数据格式三.DHT11通讯过程3.1 产生起始信号3.2 读取数据03.3 读取数据1DHT11停止信号 四.代码实例4.1读取DHT11源文件4.2 读取DHT11头文件 五.结语5.1 总结整体思路5.2 对读者的期望 一.序言 我们知道DHT11是单总线协议&#x…

十行代码,就能真正让你理解DMA(CPU的秘书)

下面的代码是单片机串口发送数据的程序. char a0xAA;//定义变量a,值为0xAA&#xff1b; TXREG a;//把数据由内存转移到串口外设&#xff1b;那我们定义的变量a的值存储在哪里了呢&#xff1f;可以看下单片机的逻辑框图。 变量其实都是存在一个叫SRAM的存储器中&#xff0c;它…

07 lvgl-边框样式

void lv_100ask_demo_course_2_1_1(void){ static lv_style_t style;lv_style_init(&style);/*Set a background color and a radius*/lv_style_set_radius(&style, 5); //半径lv_style_set_bg_opa(&style, LV_OPA_COVER); //透明度lv_style_set_bg_color(&st…

速通蓝桥杯嵌入式省一教程:(五)用按键和屏幕实现嵌入式交互系统

一个完整的嵌入式系统&#xff0c;包括任务执行部分和人机交互部分。在前四节中&#xff0c;我们已经讲解了LED、LCD和按键&#xff0c;用这三者就能够实现一个人机交互系统&#xff0c;也即搭建整个嵌入式系统的框架。在后续&#xff0c;只要将各个功能加入到这个交互系统中&a…

嵌入式技术,就在你的手边!

嵌入式技术&#xff0c;听起来多么高大上的名词&#xff0c;同时它也确实是当今信息技术的前沿领域&#xff0c;但这并不意味着它就距离我们很遥远。 事实恰恰相反&#xff0c;在当今科技发展迅猛的时代&#xff0c;嵌入式技术成为了人们生活中不可或缺的一部分。它以其小巧、高…

Unico-GUI软件关于ST传感器机器学习(MLC)基本操作步骤

准备工作 UNICO-GUI软件用于意法半导体产品组合&#xff08;加速度计、陀螺仪、磁力计和环境传感器&#xff09;中所有MEMS传感器的评估板。它可用于Linux&#xff08;基于Debian&#xff09; / Mac OS X / Windows平台。 Unico-GUI - MEMS evaluation kit software package …

基于STM32标准库智能风扇设计

目录 一&#xff0c;前言 二&#xff0c;系统方案选择 三&#xff0c;实体展示 工程分类 四&#xff0c;相关代码 PWM.c PWM.h AD.c AD.h 电机驱动程序 舵机驱动 一&#xff0c;前言 当今生活中&#xff0c;风扇已成为人们解暑的重要工具&#xff0c;然而使用风扇缓解…

STM32入门学习之定时器输入捕获

1.定时器的输入捕获可以用来测量脉冲宽度或者测量频率。输入捕获的原理图如下&#xff1a; 假设定时器是向上计数。在图中&#xff0c;t1~t2之间的便是我们要测量的高电平的时间(脉冲宽度)。首先&#xff0c;设置定时器为上升沿捕获&#xff0c;如此一来&#xff0c;在t1时刻可…

【STM32】FreeRTOS开启后,不再进入主函数的while(1)

开启freertos后&#xff0c;想在主函数的while(1)中实现led的翻转&#xff0c;发现无法实现。 int main(void) {/* USER CODE BEGIN 1 *//* USER CODE END 1 *//* MCU Configuration--------------------------------------------------------*//* Reset of all peripherals, …

实现语音识别系统:手把手教你使用STM32C8T6和LD3320(SPI通信版)实现语音识别

本文实际是对LD3320&#xff08;SPI通信版&#xff09;的个人理解&#xff0c;如果单论代码和开发板的资料而言&#xff0c;其实当你购买LD3320的时候&#xff0c;卖家已然提供了很多资料。我在大学期间曾经多次使用LD3320芯片的开发板用于设计系统&#xff0c;我在我的毕业设计…

手势识别rtos小车(3)----运动部分

c8t6和mini的程序不可以互通在freertos的情况下&#xff0c;配置差别很大&#xff0c;所以提前选好 pwm部分&#xff1a; 这部分包括了pwm的配置以及电机驱动 #include "timer.h" #include "led.h" #include "usart.h" #include "malloc.…

keil构建STM32工程并使用proteus仿真led点灯实验

STM32单片机与51单片机有很大区别&#xff0c;不仅结构上有很大差异&#xff0c;STM32更复杂一些&#xff0c;在操作上来说&#xff0c;STM32也要复杂很多&#xff0c;51单片机上手写代码&#xff0c;可以很直接操作引脚&#xff0c;但是STM32单片机在操作引脚之前需要作很多初…

【单片机】STM32F10x单片机的启动文件选取

大容量芯片定义&#xff1a; 被称为大容量芯片的特点是具有高达512K字节闪存和64K字节SRAM的内存容量。 STM32型号容量区分&#xff1a; STM32F103xx是一个完整的系列&#xff0c;不同成员之间在引脚、软件和功能上都是兼容的。根据参考手册的划分&#xff0c;具体型号的容量分…

day6 STM32时钟与定时器

STM32时钟系统的概述 概念 时钟系统是由振荡器&#xff08;信号源&#xff09;、定时唤醒器、分频器等组成的电路。 常用的信号有晶体振荡器和RC振荡器。 意义 时钟是嵌入式系统的脉搏&#xff0c;处理器内核在时钟驱动下完成指令执行&#xff0c;状态变换等动作&#xff…

FreeRTOS源码分析-12 低功耗管理

目录 1 STM32低功耗管理概念及应用 1.1睡眠模式 1.2 停止模式 1.3 待机模式 2 Tickless低功耗管理 2.1 Tickless低功耗模式介绍 2.2 FreeRTOS低功耗模式配置 2.3 FreeRTOS低功耗模式应用 3 低功耗管理实际项目开发 3.1 低功耗设计必须要掌握的硬件知识 …

基于stm32作品设计:多功能氛围灯、手机APP无线控制ws2812,MCU无线升级程序

文章目录 一、作品背景二、功能设计与实现过程三、实现基础功能&#xff08;一&#xff09;、首先是要选材&#xff08;二&#xff09;、原理图设计&#xff08;二&#xff09;、第一版本PCB设计&#xff08;三&#xff09;、焊接PCB板&#xff08;四&#xff09;编写单片机程序…

简历项目合集

基于Arduino的超声波悬浮装置 超声波悬浮原理 项目图片 概述 实验需要使用Arduino驱动换能器产生一个40KHz的方波&#xff0c;可以使用换能器组&#xff0c;也可以使用Arduino的超声波模块。但是仅仅使用Arduino输出的方波信号的功率&#xff0c;并不足以使得小物体悬浮&…

延时函数:普通延时,硬件定时器延时,系统定时器延时

一、普通延时函数 此种延时是基于让MCU做一些无意义的循环操作来打发时间&#xff0c;优点是简单易懂&#xff0c;缺点是会占用MCU的处理资源且精度较低&#xff0c;主要用于程序简单、无严格时间要求的场景中。 //微秒级的延时 void delay_us(uint32_t delay_us) { volat…

[CubeMX项目]基于STM32的平衡小车(硬件设计)

一直以来我都想在本科毕业前完成一个电机相关的实验&#xff0c;之前看了网上比较火热的自平衡莱洛三角形项目后&#xff0c;决心先做一个类似的小项目。因此&#xff0c;我通过学习大量前辈的项目案例&#xff0c;完成了该项目。 本项目的特点是&#xff1a;在需要通信的部分&…

FreeRTOS实时操作系统(一)RTOS的基本概念

文章目录 前言操作系统分类编程风格纠正FreeRTOS介绍任务调度方式任务状态 总结 前言 最近买了把75系列的机械键盘&#xff0c;没有数字区域&#xff0c;想起来稚辉君曾经做过一把客制化键盘&#xff0c;于是下载了资料准备学一学&#xff0c;网上很多开源的都是用的ATMEGA32U…

FreeRTOS实时操作系统(二)系统文件代码学习

文章目录 前言系统配置任务创建任务创建删除实践 前言 接着学习正点原子的FreeRTOS教程&#xff0c;涉及到一些详细的系统内文件代码 系统配置 可以通过各种的宏定义来实现我们自己的RTOS配置&#xff08;在FreeRTOSconfig.h&#xff09; “INCLUDE”&#xff1a;配置API函数…

[STM32F103C8T6]W5500+Modbus TCP(HAL库)

提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档 文章目录 前言一、Modbus TCP是什么?1.1.1 Modbus TCP介绍1.1.2 报文格式二、W5500配置三.读保持寄存器&&写单一寄存器函数1.代码展示2.参考资料总结前言 本章节是博主学习Modbus TCP所写的一篇文…

DMA详解及应用(嵌入式学习)

DMA 0. 前言1. DMA作用2. DMA特性3. DMA寄存器4. DMA的增量或者循环模式5. 练习 0. 前言 DMA&#xff08;Direct Memory Access&#xff0c;直接内存访问&#xff09;是一种计算机系统中用于高效地实现数据传输的技术。它允许数据在外设和内存之间直接传输&#xff0c;而无需C…

FreeRTOS学习之路,以STM32F103C8T6为实验MCU(第一章——FreeRTOS的基本框架)

学习之路主要为FreeRTOS操作系统在STM32F103&#xff08;STM32F103C8T6&#xff09;上的运用&#xff0c;采用的是标准库编程的方式&#xff0c;使用的IDE为KEIL5。 注意&#xff01;&#xff01;&#xff01;本学习之路可以通过购买STM32最小系统板以及部分配件的方式进行学习…

TI公司16位ADC芯片 --- ADS1158 单端模式使用心得

【本文发布于https://blog.csdn.net/Stack_/article/details/116331897&#xff0c;未经许可不得转载&#xff0c;转载须注明出处】 以下为个人理解&#xff0c;如有不对的地方欢迎指正。一、电路 单端模式采集16路模拟量电路二、SPI 使用外接16M晶振时&#xff0c;SPI最高波特…

光敏晶体管(ALS-PT19-315C/L177/TR8) 光照度和电压,电流关系分析.

背景 当我们使用光敏晶体管进行,测算光照度时,大多使用ADC电路测到电压. 那么怎么根据这个电压计算出对应具体的光照度呢? 下面将以 ALS-PT19-315C/L177/TR8 型号的 光敏晶体管为例,来进行分析介绍,并给出 如何根据最大光照度范围 选定合适的电阻和电容. 1,直接看数据手册给…

【【萌新的STM32学习-8】】

萌新的STM32学习-8 STM32CubeMX 是由 ST 公司开发的图形化代码自动生成工具&#xff0c;能够快速生成初始化代码&#xff0c; 如配置 GPIO&#xff0c;时钟树&#xff0c;中间件等&#xff0c;使用户专注于业务代码的开发。现在 ST 主推 HAL 库代码&#xff0c; 经典的标准外设…

STM32单片机实现Bootloader跳转的关键步骤

感谢关注&#xff01; 本期话题 现在越来越多的嵌入式设备支持远程自动升级&#xff0c;不需要再借助下载器。这样对于设备的维护非常方便。 当然若使设备支持远程升级&#xff0c;需要编写支持升级的程序代码&#xff0c;可以称之为 BootLoader。 也就是说&#xff0c;将设…

STM32 F103C8T6学习笔记7:双机无线串口通信

今日尝试配通俩个C8T6单片机之间的无线串口通信&#xff0c;文章提供原理&#xff0c;源码&#xff0c;测试效果图&#xff0c;测试工程下载&#xff1a; 目录 传输不规范问题&#xff1a; 串口通信资源&#xff1a; 单个串口资源理解&#xff1a; 单片机串口资源&#xf…

STM32 F103C8T6学习笔记11:RTC实时时钟—OLED手表日历

之前在 学习笔记10文章 做了一个简易的&#xff0c;使用定时器计时的简单时钟&#xff0c;现在使用RTC实时时钟同步代替定时器来实现一下OLED手表日历&#xff0c;接着上个实验文章进行完善~~ 文章提供源码、测试工程下载、测试效果图。 目录 RTC实时时钟&#xff1a; 简介&…

【STM32】FreeRTOS互斥量学习

互斥量&#xff08;Mutex&#xff09; 互斥量又称互斥信号量&#xff08;本质也是一种信号量&#xff0c;不具备传递数据功能&#xff09;&#xff0c;是一种特殊的二值信号量&#xff0c;它和信号量不同的是&#xff0c;它支持互斥量所有权、递归访问以及防止优先级翻转的特性…

STM32F103C8T6开发笔记1:有线陀螺仪二自由度机械臂

经过之前几天的快速学习&#xff0c;今日尝试组装一款基于MPU6050陀螺仪控制的二自由度机械臂&#xff0c;本文对其使用器材以及基本原理进行介绍~ 组装效果图&#xff1a; 主要元器件如下&#xff1a; 器件个数15 KG以上 舵机3适合舵机的金属夹爪118650电池电源12V1云台支架2…

基于STM32CUBEMX驱动TMOS模块STHS34PF80(3)----修改检测阈值

基于STM32CUBEMX驱动TMOS模块STHS34PF80----3.修改检测阈值 概述样品申请视频教程参考程序初始化省电模式设置存在阈值设置存在滞后设置动作阈值设置动作滞后主程序 概述 用于配置和设置 STHS34PF80 传感器的一些参数&#xff0c;以便进行存在检测和运动检测。 最近在弄ST和瑞…

STM32控制SG90舵机原理及代码

STM32控制SG90舵机原理及代码 一.SG90舵机原理二.控制SG90舵机三.代码实例3.1 配置定时器3.2 main 函数 四.实验现象 一.SG90舵机原理 舵机的运用还是比较广泛的&#xff0c;那么舵机工作原理是什么呢&#xff0c;一般来说我们给舵机一个信号他就能工作了&#xff0c;那么这个…

STM32--TIM定时器(2)

文章目录 输出比较PWM输出比较通道参数计算舵机简介直流电机简介TB6612 PWM基本结构PWM驱动呼吸灯PWM驱动舵机PWM控制电机 输出比较 输出比较&#xff0c;简称OC&#xff08;Output Compare&#xff09;。 输出比较的原理是&#xff0c;当定时器计数值与比较值相等或者满足某种…

搭建STM32F407的Freertos系统(基于STM32CubeMX)

本人长期开发Linux、Windows上应用软件&#xff0c;一直以来MCU开发有所接触&#xff0c;但较少&#xff08;最近项目需要&#xff0c;小公司么&#xff0c;都得会&#xff0c;被逼的&#xff09;&#xff0c;好在有STM32CubeMX这样工具&#xff0c;貌似就是我想要的工具。 本次…

STM32入门学习之定时器PWM输出

1.脉冲宽度调制PWM(Pulse Width Modulation)是利用微处理器的数字输出来对模拟电路进行控制的一种非常有效的技术。PWM可以理解为高低电平的占空比&#xff0c;即输出高电平时间与低电平时间的比值。PWM的应用是否广泛&#xff0c;比如在步进电机的控制中&#xff0c;可以通过P…

【STM32】高效开发工具CubeMonitor快速上手

工欲善其事必先利其器。拥有一个辅助测试工具&#xff0c;能极大提高开发项目的效率。STM32CubeMonitor系列工具能够实时读取和呈现其变量&#xff0c;从而在运行时帮助微调和诊断STM32应用&#xff0c;类似于一个简单的示波器。它是一款基于流程的图形化编程工具&#xff0c;类…

STM32 cubemx CAN

接收用到的结构体如下&#xff1a;CAN概念&#xff1a; 全称Controller Area Network&#xff0c;是一种半双工&#xff0c;异步通讯。 物理层&#xff1a; 闭环&#xff1a;允许总线最长40m&#xff0c;最高速1Mbps&#xff0c;规定总线两端各有一个120Ω电阻&#xff0c;闭环…

STM32CubeMx驱动SG90(360度)

SG90 360度是一直转 而不是给定角度转的 pwm周期必须为20ms 0.5ms占空比 反转速度最大 1.5ms 不转 2.5ms正转速度最大

【【STM32之GPIO】】

STM32之GPIO 学完了正点原子自带的视频课之后感觉仍然一知半解现在更新一下来自其他版本的STM32学习 GPIO 就是 General Purpose Input Output 中文名叫通用输入输出口 可配置8种输入输出模式 引脚电平 0V~3.3V 部分引脚可容忍5V 输出模式下可控制端口输出高低电平&#xff…

STM32存储左右互搏 I2C总线FATS读写EEPROM ZD24C1MA

STM32存储左右互搏 I2C总线FATS读写EEPROM ZD24C1MA 在较低容量存储领域&#xff0c;EEPROM是常用的存储介质&#xff0c;可以通过直接或者文件操作方式进行读写。不同容量的EEPROM的地址对应位数不同&#xff0c;在发送字节的格式上有所区别。EEPROM是非快速访问存储&#xf…

2022年电赛C题——小车跟随行驶系统——做题记录以及经验分享

前言 自己打算将做过的电赛真题&#xff0c;主要包含控制组的&#xff0c;近几年出现的小车控制题目&#xff0c;自己做过的真题以及在准备电赛期间刷真题出现的问题以及经验分享给大家 这次带来的是22年电赛C题——小车跟随行驶系统&#xff0c;这道题目指定使用的是TI的单片…

DAY4,ARM(用c语言点亮LED灯,封装库代码,软件编程控制硬件)

---gpio.h头文件--- #ifndef __LED_H__ #define __LED_H__//1RCC_MP_AHB4ENSETR寄存器封装 #define RCC_MP_AHB4ENSETR (*(volatile unsigned int*)0x50000a28)//2GPIO封装结构体 typedef struct {volatile unsigned int MODER;volatile unsigned int OTYPER;volatile unsigne…

【STM32】FreeRTOS事件组学习

事件组&#xff08;Event Group&#xff09; 一个任务执行之前需要经过多个条件进行判断&#xff0c;当条件全部满足或多个条件中的某一个条件满足才执行。 实验&#xff1a;创建两个任务&#xff0c;一个事件组&#xff0c;当按键一二三都按过一遍才打印。 实现&#xff1a…

STM32 CubeMX (Freertos任务通信:队列、信号量、互斥量,事件组,任务通知)第二步

STM32 CubeMX STM32 CubeMX ____Freertos任务通信&#xff1a;队列、信号量、互斥量&#xff0c;事件组&#xff0c;任务通知 STM32 CubeMX一、STM32 CubeMX设置时钟配置HAL时基选择TIM1&#xff08;不要选择滴答定时器&#xff1b;滴答定时器留给OS系统做时基&#xff09;使用…

蓝桥杯嵌入式省一教程:(三)按键扫描与定时器中断

在第一讲中曾经提到&#xff0c;GPIO有输入输出两种模式。在点亮LED时&#xff0c;我们已经使用了GPIO输出模式&#xff0c;在按键识别中&#xff0c;我们将要使用GPIO输入模式。首先来看看按键的电路原理图&#xff08;下图在选手资源数据包——CT117E-M4产品手册中&#xff0…

stm32红绿灯源代码示例(附带Proteus电路图)

本代码不能直接用于红路灯&#xff0c;只是提供一个思路 #include "main.h" #include "gpio.h" void SystemClock_Config(void); void MX_GPIO_Init(void) {GPIO_InitTypeDef GPIO_InitStruct {0};/* GPIO Ports Clock Enable */__HAL_RCC_GPIOB_CLK_ENAB…

STM32单片机蓝牙-APP全自动洗衣机水位检测洗涤脱水排水

实践制作DIY- GC0164--蓝牙-APP全自动洗衣机水位检测 基于STM32单片机设计---蓝牙-APP全自动洗衣机水位检测 二、功能介绍&#xff1a; 硬件组成&#xff1a;STM32F103C单片机最小系统LCD1602显示器 1个5V直流电机&#xff08;低速洗衣高速脱水&#xff09;1个加水电磁阀1个排水…

STM32 CubeMX (第一步Freertos任务管理:创建、删除、挂起、恢复)

STM32 CubeMX Freertos STM32 CubeMX &#xff08;Freertos任务&#xff1a;创建、删除、挂起、恢复&#xff09; STM32 CubeMX Freertos前言一、STM32 CubeMX 配置时钟树配置HAL时基选择TIM1&#xff08;不要选择滴答定时器&#xff1b;滴答定时器留给OS系统做时基&#xff09…

stm32正点原子学习笔记(0-6)

选芯片看选型手册 F1系列是相互兼容的&#xff0c;因为用的同一个固件库 而如果引脚相同&#xff0c;则Pin to Pin 兼容 可达80M 16*7(PA-PG) 3.3V给芯片供电&#xff0c;但是有的IO口能支持5V 外部晶振8M&#xff0c;通过锁相环9倍频变为72M&#xff0c;用于系统时钟。 内部高…

ESP8266获取天气预报信息,并使用CJSON解析天气预报数据

一、实现功能 当前文章介绍如何使用ESP8266和STM32微控制器&#xff0c;搭配OLED显示屏&#xff0c;制作一个能够实时显示天气预报的智能设备。将使用心知天气API来获取天气数据&#xff0c;并使用MQTT协议将数据传递给STM32控制器&#xff0c;最终在OLED显示屏上显示。 心知…

rt-thread ------fal移植

系列文章目录 rt-thread 之 生成工程模板 文章目录系列文章目录前言一、fal是什么&#xff1f;二、移植1.片内flash fal移植step1&#xff1a;使能RT-Thread Components--->中的fal选项&#xff0c;如下图所示&#xff1a;step2&#xff1a;前两项是打开调试log输出和生成f…

STM32 IAP固件升级 认知篇

1、环境 stm32f103zet6 MDK 5.28 2、芯片 2.1 Flash大小 我用的是stm32f103zet6属于高容量产品&#xff0c;flash大小512KB&#xff0c;每个Page2KB大小&#xff0c;一共256页&#xff08;这个可以根据自己的芯片去ST官网查询文档&#xff09;&#xff0c;如下图所示&#…

Altium软件关于设置焊盘/过孔的一些技巧

PCB中的焊盘 PCB中的焊盘分好多种&#xff0c;本文主要介绍热焊盘、反焊盘。 所谓热焊盘&#xff0c;其实就是防止烙铁在焊接的时候热量被铺铜导走而形成不容易焊接。防止焊盘散热过快用的&#xff0c;另一方面&#xff0c;采用热焊盘可以加固PCB的导线层&#xff0c;PCB受力…

ArduPilot硬件AOCODARC H7DUAL配置文件讨论

ArduPilot硬件AOCODARC H7DUAL配置文件讨论 1. 源由2. 厂家配置3. 研读分析3.1 defaults.parm3.2 hwdef-bl.dat3.3 hwdef.dat 4. 参考资料5. 附录 - ArduPilot on Chibios6. 问题汇总问题1&#xff1a;Betaflight配置文件也没有对应的描述&#xff0c;该引脚用于什么用途&#…

STM32F4新建寄存器版本MDK(Keil5)工程(正点原子)

1. 前期准备 1.1 下载 STM32Cube 固件包 1.1.1 ST官网搜索STM32Cube 首先进入ST官网&#xff0c;在搜索栏输入 STM32Cube 找到 STM32CubeF4 点击进去 在这里可以选择版本进行下载&#xff0c;这里我选择1.26.0&#xff08;后续不使用官方的因为太冗杂了&#xff0c;这里只…

神经网络视觉AI“后时代”自瞄实现与对抗

通俗一点来说&#xff0c;自瞄是在FPS射击游戏中最为常见的作弊手段之一&#xff0c;当下最火爆的CSGO也深受其扰&#xff0c;在此我说些我自己的看法&#xff0c;欢迎大家在下方留言讨论&#xff1b; &#xff08;1&#xff09;软件层面 在神经网络方面的视觉AI应用流行之前&…

STM32_基础入门_数据类型的定义及常用的U8,U16,U32是什么

持续关注阿杰在线更新保姆式笔记~~坚持日更 在Keil MDK 开发环境里&#xff0c;比如一个 无符号32位整形数据会有很多种表示方法&#xff1a; 1 unsigned int 32 &#xff08;C语言标准表达方法&#xff09; 2 uint32_t ; 3 u32; 这三种方式都是在表达同一个意思&#xff0c;可…

STM32_基础入门(七)_端口复用和重映射

持续关注阿杰在线更新保姆式笔记~~坚持日更 在讲解此篇文章之前&#xff0c;我们先弄清楚一些概念&#xff01; STM32的引脚可设置为可设置为&#xff1a;普通IO功能、复用功能、重映射功能。不过普通IO功能、复用功能用得比较多。 端口复用和重映射都是和单片机的I/O口有关系…

【CH32】| 02——常用外设 | GPIO

系列文章目录 【CH32】| 00——开发环境搭建 【CH32】| 01——新建工程 | 下载 | 运行 |调试 【CH32】| 02——常用外设 | GPIO 失败了也挺可爱&#xff0c;成功了就超帅。 文章目录 前言1. GPIO简介2. IO口的内部结构框图保护二极管上下拉电阻施密特触发器两个MOS管输出寄存器…

stm32单片机开关输入控制蜂鸣器参考代码(附PROTEUS电路图)

说明&#xff1a;这个buzzer的额定电压需要改为3V&#xff0c;否则不会叫&#xff0c;源代码几乎是完全一样的 //gpio.c文件 /* USER CODE BEGIN Header */ /********************************************************************************* file gpio.c* brief Thi…

走嵌入式还是纯软件?学长告诉你怎么选

最近有不少理工科的本科生问我&#xff0c;未来是走嵌入式还是纯软件好&#xff0c;究竟什么样的同学适合学习嵌入式呢&#xff1f;在这里我整合一下给他们的回答&#xff0c;根据自己的经验提供一些建议。 嵌入式领域也可以分为单片机方向、Linux方向和安卓方向。如果你的专业…

STM32 F103C8T6学习笔记10:OLED显示屏GIF动图取模—简易时钟—动图手表的制作~

今日尝试做一款有动图的OLED实时时钟&#xff0c;本文需要现学一个OLED的GIF动图取模 其余需要的知识点有不会的可以去我 STM32 F103C8T6学习笔记 系列专栏自己查阅把&#xff0c;闲话不多&#xff0c;直接开肝~~~ 文章提供源码&#xff0c;测试工程下载&#xff0c;测试效…

stm32单片机/51单片机蜂鸣器不响(proteus模拟)

蜂鸣器不发生原因就1个&#xff1a;电压不够 所以需要提高蜂鸣器2端的电压&#xff1a;可以采用的方法有&#xff1a; 1提高蜂鸣器电阻&#xff0c;这样根据分压原理&#xff0c;可以提升蜂鸣器2段电压 2更改蜂鸣器的工作电压为更小的值&#xff0c;这个可以通过在proteus内…

STM32F4X 定时器中断

STM32F4X 定时器中断 什么是定时器STM32F4X 定时器分类有关定时器的概念预分频(PSC)自动重装载值(ARR) STM32F4X定时器例程定时器相关函数定时器例程 什么是定时器 定时器(Timer)最基本的功能就是定时&#xff0c;比如定时翻转LED灯&#xff0c;定时向串口发送数据等。除此之外…

STM32使用定时器实现微秒(us)级延时

STM32使用定时器实现微秒&#xff08;us&#xff09;级延时 引言前期准备介绍系统时钟定时器时钟 项目项目介绍STM32CubeMX程序 引言 目前开发STM32普遍使用HAL库&#xff0c;但 HAL 库封装的延时函数目前仅支持 ms 级别的延时&#xff0c;日常很多情况下会用到 us 延时&#…

MPU6050使用心得(简单分享一下)

前言 选用MPU6050做 倾斜检测 功能。 前期准备 开发板&#xff1a;正点原子STM32F103 精英版&#xff08;STM32F103ZET6&#xff09; 模块&#xff1a;GY-521 MPU6050 其他&#xff1a;杜邦线若干、烧录线、FlyMcu、Keil5、正点原子开发板配套的套件&#xff08;TFTLCD&…

【STM32RT-Thread零基础入门】 6. 线程创建应用(线程挂起与恢复)

硬件&#xff1a;STM32F103ZET6、ST-LINK、usb转串口工具、4个LED灯、1个蜂鸣器、4个1k电阻、2个按键、面包板、杜邦线 文章目录 前言一、RT-Thread相关接口函数1. 挂起线程2. 恢复线程 二、程序设计1. car_led.c2.car_led.h3. main.c 三、程序测试总结 前言 在上一个任务中&a…

ARM,(cortex-A7核中断实验)

1.实验目的&#xff1a;实现KEY1/LEY2/KE3三个按键&#xff0c;中断触发打印一句话&#xff0c;并且灯的状态取反&#xff1b; key1 ----> LED3灯状态取反&#xff1b; key2 ----> LED2灯状态取反&#xff1b; key3 ----> LED1灯状态取反&#xff1b; 2.分析框图: …

ARM开发(cortex-A7核中断实验)

1.实验目的&#xff1a;实现KEY1/LEY2/KE3三个按键&#xff0c;中断触发打印一句话&#xff0c;并且灯的状态取反&#xff1b; key1 ----> LED3灯状态取反&#xff1b; key2 ----> LED2灯状态取反&#xff1b; key3 ----> LED1灯状态取反&#xff1b; 2.分析框图: …

关于stm32推挽带有上下拉电阻的思考、IO口驱动能力是什么

1、发现推挽带有上下拉电阻 1.1、stm32手册 记忆中推挽是不需要上下拉的&#xff0c;没关注过&#xff0c;但是我真的理解上下拉吗&#xff0c;下图来自stm32f4的中文版和英文版的数据手册&#xff0c;没有翻译错&#xff0c;就是“推挽带有上下拉的能力”。 1.2、查找相关信…

STLINK V2 无法用STM32CubeProgrammer下载程序

故障原因&#xff1a; 这个问题真的挺狗的&#xff0c;先说结论&#xff0c;因为你买的ST-LINK V2是国产的&#xff0c;而且用的也是国产的芯片&#xff0c;不是ST的STM32F103C8T6&#xff0c;所以STM32CubeProgrammer识别不到芯片的串号&#xff0c;都是奸商为了省钱导致的。 …

STM32_基础入门(二)_库函数蜂鸣器实验

持续关注阿杰在线更新保姆式笔记~~坚持日更 目录 一、硬件连接 二、库函数——步骤 三、代码区 一、硬件连接 BEEP 0;--->不响 BEEP 1;--->响 二、库函数——步骤 使能IO口时钟。调用函数RCC_APB2PeriphColckCmd();不同的IO组&#xff0c…

STM32_基础入门(一)_库函数跑马灯实验

持续关注阿杰在线更新保姆式笔记~~坚持日更 寄存器跑马灯实验 目录 一、GPIO口工作模式 四个输出模式 四大输入模式 对应库函数 二、相关寄存器 三、硬件连接 四、库函数——步骤 五、代码区 一、GPIO口工作模式 IO:数据输出 数据输入 &#xff08;所有IO口都可以作…

STC89C52+DHT20设计的环境温湿度检测仪

一、项目背景 本项目基于STC89C52单片机和DHT20温湿度传感器,实现了一款环境温湿度检测仪。通过传感器采集环境的温度和湿度数据,利用IIC接口的OLED显示屏显示出来,便于用户实时监测环境温湿度状态。 在现代社会,人们对环境温湿度的要求越来越高。无论是工作场所还是居住…

一个未初始化的局部变量引起的BUG(二)-PC13引脚异常

现象&#xff1a; STM32F030C8中PC13引脚异常&#xff0c;配置为GPIO输出&#xff0c;有时编译后出现异常&#xff0c;表现如下&#xff1a; 1、不能输出高电平。 2、烧回原来正确的版本固件&#xff0c;也不能输出高电。 3、过一段时间后&#xff0c;能恢复。 4、同样的代码&…

STM32F1系列大容量型号串口12345单字节多字节收发实现

代码在型号为STM32F103VET6测试验证通过 以下为所有代码 串口1工程包含的代码文件有如下几个文件 timer2.h #ifndef _TIMER2_H #define _TIMER2_H#include "config.h"void TIM2Init(u16 period, u16 prescaler);//中断处理函数放在stm32f10x_it.c文件#endiftimer2.c…

STM32F103C8T6移植uc/OS-III基于HAL库

一、实验要求 学习嵌入式实时操作系统&#xff08;RTOS&#xff09;,以uc/OS-III为例&#xff0c;将其移植到stm32F103上&#xff0c;构建至少3个任务&#xff08;task&#xff09;:其中两个task分别以1s和3s周期对LED等进行点亮-熄灭的控制&#xff1b;另外一个task以2s周期通…

FreeRTOS:事件标志组

目录 一、事件标志组简介1.1事件位(事件标志)1.2事件组1.3事件标志组和事件位的数据类型 二、创建事件标志组2.1函数 xEventGroupCreate()2.2函数xEventGroupCreateStatic() 三、设置事件位3.1函数 xEventGroupClearBits()3.2函数xEventGroupClearBitsFromISR()3.3函数 xEventG…

STM32读取24位模数转换(24bit ADC)芯片ADS1231数据

STM32读取24位模数转换&#xff08;24bit ADC&#xff09;芯片ADS1231数据 ADS1231是一款TI公司出品的24位ADC芯片&#xff0c;常用于与称重传感器配合实现体重计的应用。这里介绍STM32读取ADS1231的电路和代码实现。ADS1231的特点为通过硬件管脚可控制两种采样速率&#xff0…

卫星定位北斗芯片AT6558一款高性能BDS/GNSS多模卫星导航接收机SOC单芯片

1 芯片简介 AT6558R是一款高性能BDS/GNSS多模卫星导航接收机SOC单芯片,片上集成射频前端&#xff0c; 数字基带处理器&#xff0c;32位的RISCCPU&#xff0c;电源管理功能。 芯片支持多种卫星导航系统&#xff0c;包括中国的北斗卫星导航系统BDS&#xff0c;美国的GPS,俄罗斯 的…

STM32H723ZGT6 LAN8720A LWIP

Stm32CubeMX 版本&#xff1a;6.8.1 硬件库版本&#xff1a;STM32Cube FW_H7 V1.11.0 usart.c#include <stdio.h> #if 1//标准库需要的支持函数 struct __FILE { int handle; }; FILE __stdout; //定义_sys_exit()以避免使用半主机模式 void _…

拉普拉斯方程解决有介质导体球壳问题

一个内径和外径分别为和的导体球壳&#xff0c;带电荷&#xff0c;同心地包围着一个的导体球&#xff0c;使这个导体球接地&#xff0c;求空间各点的电势和这个导体球的感应电荷 我们不难发现&#xff0c;球对称性非常强&#xff0c;电势只和半径有关系 所以我们可以假设电势为…

FreeRTOS实时操作系统(五)临界区及任务调度器

系列文章目录 文章目录 系列文章目录临界区代码保护任务调度器的挂起与保护 临界区代码保护 临界区&#xff1a;是指那些必须要完整运行的&#xff0c;不能被打断的代码 适用于&#xff1a; 1.外设初始化 2.操作系统的代码有很多不能被打断 3.用户自己的需求 一般在中断、任…

JLink下载hex、bin文件

在复现稚晖君的ElectronBot时&#xff0c;下载程序需要个ST-Link&#xff0c;而我自己就只有JLink&#xff0c;然后发现稚晖君有开源的ST-Link&#xff0c;所以就复制过来用了&#xff0c;稚晖君仓库里只有用ST-Link下载程序的软件&#xff0c;所以只能自己用JLink下载程序了。…

【07】STM32·HAL库开发-新建寄存器版本MDK工程 |下载STM32Cube固件包 | 新建MDK工程步骤

目录 1.新建工程前的准备工作&#xff08;了解&#xff09;1.1下载相关STM32Cube 官方固件包&#xff08;F1/F4/F7/H7) 2.新建寄存器版本MDK工程步骤&#xff08;熟悉&#xff09;2.1新建工程文件夹2.1.1Drivers文件夹2.1.2Middlewares文件夹2.1.3Output文件夹2.1.4Projects文件…

FreeRTOS 创建任务

例子&#xff1a;创建一个任务&#xff0c;并在任务里面翻转LED 灯 1. 函数原型 BaseType_t xTaskCreate(TaskFunction_t pxTaskCode,const char * const pcName,const uint16_t usStackDepth,void * const pvParameters,UBaseType_t uxPriority,TaskHandle_t * const pxCreat…

FreeRTOS中断和任务之间的队列,自定义串口通讯协议

本文提供这样一种方法&#xff1a;FreeRTOS中串口接收数据中断&#xff0c;然后通过队列将数据传递给任务A&#xff0c;在任务A中对数据进行处理&#xff0c;串口使用的通讯协议为自定义。 依次给出了串口的初始化,中断服务函数;任务A&#xff0c;队列创建的代码&#xff1b;由…

STM32F4_LCD/OLED在线取字模软件

在进行&#xff08;单片机&#xff09;嵌入式开发的时候&#xff0c;我们为了调试方便&#xff0c;通常会使用 OLED / LCD / 串口助手 等去帮助我们调试程序&#xff0c;常常会使用到 LCD 或 OLED 点阵字模&#xff0c;下面是搜集到的几个在线取字模的软件&#xff0c;希望能对…

重新更新,整理了一下文章分类专栏

恢复更新&#xff0c;有问题可以留言。之后会更新更详细的教程&#xff0c;尽量更新项目实战。直接留言即可&#xff01;

STM32 UDS Bootloader开发-下位机篇-bootloader软件(1)

文章目录 前言STM32启动过程Bootloader开发链接文件跳转函数CAN初始化CAN过滤器CAN发送与接收发送函数接收函数定时器配置总结前言 上一篇文章介绍了STM32 UDS Bootlodaer开发中的需求。本文根据需求开发bootloader软件。 Bootloader参考github大神SummerFalls的UDS_S32K144_X…

stm32中C语言变量的定义

二进制和十进制 二进制十进制011021131004101511061117100081001910101011111111255 十六进制和十进制 十六进制十进制十六进制十进制00B1111C1222D1333E1444F15551016661117771218881319991420A10FF255 顺便了解一下 BCD 码。&#xff01; 算术运算符 符号作用赋值加-减*乘…

Keil5新建工程

STM32新建工程 1、基于寄存器、基于库函数、基于HAL2、基于标准库的工程3、工程架构4、基于库函数点灯实验 1、基于寄存器、基于库函数、基于HAL 1、基于寄存器&#xff1a;与51单片机开发案方式一样&#xff0c;是用程序直接配置寄存器&#xff0c;来达到我们想要的功能&…

(学习日记)2023.06.07

写在前面&#xff1a; 由于时间的不足与学习的碎片化&#xff0c;写博客变得有些奢侈。 但是对于记录学习&#xff08;忘了以后能快速复习&#xff09;的渴望一天天变得强烈。 既然如此 不如以天为单位&#xff0c;以时间为顺序&#xff0c;仅仅将博客当做一个知识学习的目录&a…

STM32之TIMx(定时器)理解及运用

文章目录一、定时器的分类二、定时器基本定时功能1、定时时间换算2、定时器定时程序设计3、定时器周期中断设计三、高级定时器1、定时器的输出比较功能1、PWM输出模式2、四路PWM输出控制电机程序2、定时器的输入捕获功能一、定时器的分类 1、基本定时器&#xff1a;只能定时。 …

ADC(模数转换)详解

ADC&#xff08;模数转换&#xff09;详解 前言ADC的定义ADC简介ADC特性ADC时钟工作模式单通道单次转换练习多通道扫描模式单次转换 前言 在STM32微控制器中&#xff0c;ADC代表模数转换器&#xff08;Analog-to-Digital Converter&#xff09;。ADC是一种用于将模拟信号转换为…

Matlab使用simulink设置PID记录

文章目录1、使用simulink搭建PID框架1、使用官方PID框架2、从PID的原理搭建3、调节分析2、simulink联合cubumx生成代码1、单级PID生成测试2、串级PID生成测试3、对于串级PID的理解最近正好做我旁边的兄弟一直在做simulink仿真飞行器的设计&#xff0c;我一时兴起&#xff0c;就…

基于ChatGPT使用报告 (针对嵌入式领域测试及心得)

目录 1、基于驱动的日志解析提问 错误日志分析【100%】 报错日志分析【90%】 错误日志分析【60%】 2、基于安卓设备调试提问 adb调试i2c【效率50%】 adb调试gpio【50%】 3、基于高通代码的提问 基于高通代码含义的解析【效率80%】 基于高通新增TP驱动提问【效率50%】…

基于51单片机设计的热敏电阻测温系统

一、项目介绍 当前文章介绍基于51单片机的热敏电阻测温系统的设计过程,用于实时监测环境温度,并在温度超过预设阈值时进行报警。由于采用的是热敏电阻测温技术,无需外置温度传感器,使得系统具有结构简单、成本较低等优点。 主控芯片采用STC89C52,具有良好的稳定性和可靠…

PROFINET1.8.0.5协议移植问题汇总

注&#xff1a;记录个人移植过程遇到的问题&#xff0c;正在更新。。。 PROFINET1.8.0.5协议移植遇到问题汇总&#xff1a; 软件环境&#xff1a;TIA_V17 硬件环境&#xff1a;stm32F205_ZET6主控芯片TPS-1 PROFINET IO 20500PF00&#xff08;芯片物料编码B0001.0.2&#xff09…

FreeRTOS中断管理与CPU使用率

文章目录 异常与中断中断简介运作机制中断延迟中断管理 CPU使用率CPU使用率统计 异常与中断 异常&#xff1a;异常是指任何打断处理器正常执行&#xff0c;并且迫使处理器进入一个由有特权的特殊指令执行的事件。可以分为同步异常和异步异常。 同步异常&#xff1a;由内部事件…

STC12C5A60S2最小系统板/51单片机温度显示和温度控制风扇

STC12C5A60S2最小系统板/51单片机温度显示和温度控制风扇&#xff0c;板载有DS18B20和TM16504位数码管。 项目简介 单片机课课程设计&#xff0c;要做一个智能温控风扇&#xff0c;达到设定的下限温度值就开启风扇&#xff0c;温度在上限和下限之间就按比例输出PWM占空比控制…

stm32串口通信(PC--stm32;中断接收方式;附proteus电路图;开发方式:cubeMX)

单片机型号STM32F103R6: 最后实现的效果是&#xff0c;开机后PC内要求输入1或0&#xff0c;输入1则打开灯泡&#xff0c;输入0则关闭灯泡&#xff0c;输入其他内容则显示错误&#xff0c;值得注意的是这个模拟的东西只能输入英文 之所以用2个LED灯是因为LED电阻粗略一算就是1…

ARM开发,stm32mp157a-A7核SPI总线实验(实现数码管的显示)

1.目标&#xff1a; a.数码管显示相同的值 0000 1111 ......9999&#xff1b; b.数码管显示不同的值 1234&#xff1b; 2.分析m74hc595芯片内部框图&#xff1b; 真值表&#xff1a; 3.代码&#xff1b; ---spi.h头文件--- #ifndef __SPI_H__ #define __SPI_H__#include &quo…

cortex-A7核IIC实验--STM32MP157AAA

实验目的&#xff1a;采集温湿度传感器值 一&#xff0c;IIC概念 1.同步串行半双工总线&#xff0c;主要用于链接整体电路&#xff0c;硬件结构简单&#xff0c;接口连接方便&#xff0c;成本较低 2.两线制&#xff0c;只有两根双向信号线&#xff0c;数据线SDA,时钟线SCL …

STM32CubeMX配置STM32G0 Standby模式停止IWDG(HAL库开发)

1.打开STM32CubeMX选择好对应的芯片&#xff0c;打开IWDG 2.打开串口1进行调试 3.配置好时钟 4.写好项目名称&#xff0c;选好开发环境&#xff0c;最后获取代码。 5.打开工程&#xff0c;点击魔术棒&#xff0c;勾选Use Micro LIB 6.修改main.c #include "main.h"…

ARM-汇编指令

一&#xff0c;map.lds文件 链接脚本文件 作用&#xff1a;给编译器进行使用&#xff0c;告诉编译器各个段&#xff0c;如何进行分布 /*输出格式&#xff1a;32位可执行程序&#xff0c;小端对齐*/ OUTPUT_FORMAT("elf32-littlearm", "elf32-littlearm",…

stm32之12.如何使用printf打印输出

主函数增加这些代码即可实现printf打印输出 需要添加头文件 #include "stdio.h" --------------- 源码 struct __FILE { int handle; /* Add whatever you need here */ }; FILE __stdout; FILE __stdin; int fputc(int c, FILE *f) { /* 发送一个字节 */ …

STM32CubeIDE(Timer)

目录 一、基本定时器 1、TIM6和TIM7简介 2、TIM6和TIM7主要特性 3、CubeMX配置 4、代码编写 二、通用定时器 1、简介 2、主要功能 3、生成PWM波 3.1 固定占空比 3.2 可变占空比 4、输出比较 5、输入PWM 5.1 CubeMX配置 5.2 代码编写 一、基本定时器 1、TIM6和TI…

【【萌新的STM32学习-18 中断的基本概念3】】

萌新的STM32学习-18 中断的基本概念3 EXTI和IO映射的关系 AFIO简介&#xff08;F1&#xff09; Alternate Function IO 复用功能IO 主要用于重映射和外部中断映射配置 1.调试IO配置 来自AFIO_MAPR[26:24] , 配置JTAG/SWD的开关状态 &#xff08;这个我们并不用太过深刻的关注&…

正点原子lwIP学习笔记——Jperf测试网速

1. Jperf与iperf简介 iperf是命令行形式的网络性能测试工具&#xff1b;而Jperf就是在iperf的基础上进行UI开发&#xff0c;搭建了界面的图形化网络性能测试工具。 是用来测试TCP/UDP的带宽、延迟抖动和数据包丢失等功能&#xff01; iperf -c server -ip -p server-port -i 1…

STM32F103VET6基于ENC28J60移植LWIP1.4.1(标准库,FreeRTOS)

目录 准备模板LWIP文件夹新建组魔术棒修改lwipopts.h修改sys_arch.h修改sys_arch.c修改LWIP初始化函数中断文件主文件测试源码 在进行移植之前&#xff0c;大家需要学会FreeRTOS的基本使用与无OS移植LWIP的经验&#xff0c;因为基于FreeRTOS移植LWIP需要准备一个FreeRTOS模板项…

STM32H7 Azure RTOS

STM32H7 是意法半导体&#xff08;STMicroelectronics&#xff09;推出的一款高性能微控制器系列&#xff0c;基于 Arm Cortex-M7 内核。它具有丰富的外设和高性能计算能力&#xff0c;适用于各种应用领域。 Azure RTOS&#xff08;原名 ThreadX&#xff09;是一款实时操作系统…

最火的几款STM32 F系列对比

最火的几款STM32 F系列对比 最常用且相对热门STM32F103系列STM32F407系列STM32F429系列STM32F746系列 最常用且相对热门 在STM32F系列中&#xff0c;以下是一些最常用且相对热门的芯片&#xff1a; STM32F103系列&#xff1a;这个系列是STM32F系列中最受欢迎的型号之一。它基于…

单片机STM32看门狗详解(嵌入式学习)

单片机STM32看门狗 什么是看门狗为什么需要看门狗&#xff1f;STM32CubeMX配置和应用示例独立看门狗&#xff08;IWDG&#xff09;窗口看门狗&#xff08;WWDG&#xff09; 注意事项 什么是看门狗 单片机STM32的看门狗&#xff08;Watchdog&#xff09;是一种硬件定时器&#…

6.STM32时钟系统

1.时钟系统框图: HSI&#xff1a;高速的内部时钟->8MHz;HSE&#xff1a;外部高速时钟->8MHz;PLL&#xff1a; 锁相环->用于倍频(放大频率)&#xff1b;CSS&#xff1a;时钟监控系统(一旦检测到HSE(外部晶振)失败&#xff0c;将会自动切换系统时钟源HSI)&#xff1b;LS…

STM32 Proteus仿真闹钟设定万年历系统DS1302北京时间LCD1602蜂鸣器报警-0045

STM32 Proteus仿真闹钟设定万年历系统DS1302北京时间LCD1602蜂鸣器报警-0045 Proteus仿真小实验&#xff1a; STM32 Proteus仿真闹钟设定万年历系统DS1302北京时间LCD1602蜂鸣器报警-0045 功能&#xff1a; Protues版本&#xff1a;8.9 硬件组成&#xff1a;STM32F103R6单…

【单片机】STM32单片机的矩阵键盘驱动,标准库,无阻塞方式的矩阵键盘读取

原理图&#xff1a; 从左到右、从上到下&#xff0c;按键是1到16&#xff0c;没有按键返回0&#xff1a; key.c #include "key.h"/* 按键初始化函数 */ void KEY_Init(void) {GPIO_InitTypeDef GPIO_InitStructure;RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOB, …

三极管开关电路限流电阻怎么选取

这是一个MCU驱动小功率器件的电路&#xff0c;这里仅用小功率灯珠代替负载&#xff0c;电路中用到了一个NPN的三极管&#xff0c;这个三极管在这里充当一个开关。 三极管当作开关时其工作在饱和状态&#xff0c;BE间的电压要大于开启电压&#xff0c;同时BE间的电压大于CE间的电…

STM32速成笔记—EEPROM(AT24C02)

文章目录 一、AT24C02简介二、AT24C02引脚三、AT24C02寻址四、AT24C02读/写操作4.1 AT24C02写操作4.2 AT24C02读操作 五、AT24C02程序六、应用实例七、拓展应用 一、AT24C02简介 AT24C01/02/04/08/16…是一个1K/2K/4K/8K/16K位电可擦除PROM&#xff0c;内部含有128/256/512/10…

基于机器学习方法校准MPU6050陀螺仪加速度计(含完整源码)

基于机器学习方法校准MPU6050陀螺仪加速度计&#xff08;含源码&#xff09; 最近组装了一架无人机&#xff0c;想要自己写一个飞控&#xff0c;所以一直在研究陀螺仪&#xff0c;我使用的是目前最常用的MPU6050六轴运动传感器&#xff0c;如下图所示 然而在将程序写好后发现传…

9.1STM32UART发送实验

1.串口发送流程 1.字长 2.停止位数 3.波特率 前面一章有 4.使能串口 5.DMA&#xff08;以后说&#xff09; 6.使能发送器 7.向发送数据寄存器TDR写入数据 8.写入最后一个数据后&#xff0c;等待状态寄存器USARTx_SR&#xff08;ISR&#xff09;的TC位置1&#xff0c;转输完…

7.STM32IO引脚的复用和映射

1.端口复用是什么 STM32有很多内置外设&#xff0c;这些外设的外部引脚都是可以与GPIO复用的。一个GPIO可以复用为外置内设的功能引脚。 就是一个IO口可以作为很多的功能&#xff0c;可以根据情况选择功能 例如PA9-PA10&#xff0c;是作为串口使用的&#xff0c;而不是作为普通…

STM32 | OpenBLT Bootloader的使用分享

1024G 嵌入式资源大放送&#xff01;包括但不限于C/C、单片机、Linux等。关注微信公众号【嵌入式大杂烩】&#xff0c;回复1024&#xff0c;即可免费获取&#xff01; 前言 分享的C语言的帖子大家似乎不太感兴趣&#xff0c;那我们就拿起吃灰的板子来玩吧。。 前几天在安富莱公…

ARM开发,stm32mp157a-A7核PWM实验(驱动蜂鸣器,风扇,马达工作)

1.分析框图&#xff1b; 2.比较捕获寄存器&#xff08;产生PWM方波&#xff09;&#xff1b; 工作原理&#xff1a; 1、系统提供一个时钟源209MHZ&#xff0c;需要通过分频器进行分频&#xff0c;设置分频器值为209分频&#xff1b; 2、当定时器启动之后&#xff0c;自动重载…

SPI2外设驱动-W25Q64 SPI接口初始化

前言 &#xff08;1&#xff09;本系列是基于STM32的项目笔记&#xff0c;内容涵盖了STM32各种外设的使用&#xff0c;由浅入深。 &#xff08;2&#xff09;小编使用的单片机是STM32F105RCT6&#xff0c;项目笔记基于小编的实际项目&#xff0c;但是博客中的内容适用于各种单片…

基于STM32+移远EC200S

前言 EC200S-CN 是移远通信最近推出的 LTE Cat 1 无线通信模块&#xff0c;支持最大下行速率 10Mbps 和最大上行速率 5Mbps&#xff0c;具有超高的性价比&#xff1b;同时在封装上兼容移远通信多网络制式 LTE Standard EC2x&#xff08;EC25、EC21、EC20 R2.0、EC20 R2.1&#…

【STM32】基本定时器

基于stm32f103 基于《零死角玩转STM32—F103指南者》进行学习 定时器 分类 基本定时器&#xff0c;通用定时器&#xff0c;高级定时器 功能框图 简单来说就是来自APB或者AHB的时钟&#xff0c;经过PSC(1到65535分频)&#xff0c;形成时基&#xff0c;每经过一个时基&…

单片机外设-串口(UART)详情

目录 学习UART要先认识一些基础知识 一&#xff1a;什么是串行、并行通信&#xff1f; &#xff08;1&#xff09;串行通信 串行通信概念&#xff1a; 串行通信的特点&#xff1a; &#xff08;2&#xff09;并行通信 并行通信概念&#xff1a; 并行通信特点&#xff1…

MDK 5.xx.0 + STM32F10x 笔记

天才脑袋比不上烂笔头, 写给自己看, 自用资料。 安装MDK STM32环境 Download MDK安装 MDK -> c:\keil_v5 用默认路径下载 ARMCC V5.06 Update 7 (build960) <- 长期稳定支持版本安装至 c:\keil_v5\arm\ARMCC开启 uVision.设定 预设编译程序版本 : V5.06 Update 7 (bui…

STM32F4X 独立看门狗 IWDG

STM32F4X 独立看门狗 IWDG 什么是看门狗STM32F4X 独立看门狗的使用独立看门狗时钟源独立看门狗寄存器关键字寄存器预分频器寄存器重载寄存器状态寄存器 独立看门狗计数频率计算独立看门狗例程 什么是看门狗 我们都知道&#xff0c;当一个嵌入式在运行过程中发生死机或者程序跑…

STM32 CUBEMX CAN通信数据发送失败原因分析

CAN通信是一种数据通信协议&#xff0c;用于在不同设备之间进行通信。它是一种高效的、实时的、可靠的、多主机的、串行通信系统&#xff0c;通常用于汽车电子、工业自动化等领域。CAN通信协议是由德国BOSCH公司于1986年引入&#xff0c;并在欧洲和日本广泛使用。CAN通信具有独…

11.TIM定时中断

STM32标准库开发-总目录-传送门 目录 TIM简介 定时器类型 基本定时器 1.基本定时器时基单元 2.时基单元的工作流程 3.主模式触发DAC的功能 通用定时器 1.通用定时器与基本定时器异同 2.内外时钟源选择功能 3. 编码器接口功能 4.主从触发模式功能 5.输出比较功能 6…

【STM32】中断与NVIC以外部中断为例

前言 在stm32中姑且可以认为&#xff0c;异常就是中断 单片机上电之后&#xff0c;首先执行启动文件&#xff0c;开辟堆栈之后&#xff0c;开始初始化中断向量表。 NVIC NVIC NVIC是嵌套向量中断控制器&#xff0c;控制着整个芯片中断相关的功能&#xff0c;它跟内核紧密耦…

STM32注入通道

什么是注入通道? 注入通道是ADC的一种采样方式,主要用于在规则通道转换期间并行处理快速变化信号的采样。注入通道的转换可以在规则通道转换时强行插入,相当于一个“中断通道”。当有注入通道需要转换时,规则通道的转换会停止,优先执行注入通道的转换,当注入通道的转换执…

STM32设计的宠物投喂器(正点原子mini开发板+2.8寸屏)

一、设计需求 【1】 项目背景 在竞争日益激烈的今天,各行各业为提高竞争力,纷纷推出了各种新、奇的事物来吸引消费者。经过长时间的市场调查,发现广大市民及民营企业家大多还采用传统的人工喂养方式,这种方式不但耗费了大量的人力资源,而且由于现在的人力成本的不断增加…

【【萌新的STM32学习23----数据通信的基本类型】】

萌新的STM32学习23----数据通信的基本类型 数据通信的基本概念 数据通信方式可以分为串行通信&#xff0c;并行通信 串行通信&#xff1a; 数据逐位按顺序依次传输 并行&#xff1a; 数据各位通过多条线同时传输 串行通信&#xff1a; 传输效率低&#xff0c;抗干扰能力强&am…

20220804-al422 test 01

#include "stc8a8k.h" #include <stdio.h> #include "stdlib.h" #include "stdarg.h" #include "string.h" //str函数 #include "intrins.h"//22.1184Mhz&#xff1b; #define DataIn P0 #define DataOut P2sbit …

opmv_28BYJ步进电机代码以及测试

1、理论 28BYJ 步进电机&#xff0c;5V&#xff0c;淘宝买5元左右一个&#xff1b; 驱动使用ULN2003&#xff1b; 减速步进电机 直径&#xff1a;28mm 电压&#xff1a;5V 步进角度&#xff1a;5.625 x 1/64 减速比&#xff1a;1/64 5线4相 可以用普通uln2003芯片驱动&am…

排查矩阵按键丢键问题

背景 按键板作为用户交互入口、板载LCD&#xff0c;与主板通过串口通讯。接手别人留下的代码&#xff0c;发现存在按键响应不到的问题。 问题排查 排查方向一&#xff1a;debug调试 打断点&#xff0c;发现可以响应按键。 排查方向二&#xff1a;主板与按键板通过排线链接&…

简单的AT指令解析

制作一个简单的AT指令解析 最近在开发nrf51822工作上需要搭建一个简单的AT指令库&#xff0c;突然灵机一动想到了这个样一个简单的AT解析函数&#xff0c;虽然不是特别完美但还需各位大神多多指教。 一般&#xff0c;我们的AT命令组成为&#xff1a; 1、查询命令 ATinstructi…

cubemx stm32 hc-sr505模块 检测有无人 驱动代码

CubeMX配置 开一个串口开一个输入引脚&#xff0c;配置成下拉模式 硬件连接 VCC —— 5.5V Sign —— PB5 GND —— GND 代码 hc_sr505.c #include "hc_sr505.h" #include "GPIO.h"#define HC_SR505_Port GPIOB #define HC_SR505_PIN GPIO_PIN_5uint8…

外部存储芯片(详细说明)

前言 苔花若米小,也学牡丹开. 这里是各种存储芯片的简单描述&#xff0c;如有问题&#xff0c;敬请指正&#xff01; 存储芯片简介 为什么需要外部的存储原器件 STM32控制芯片内部有一定大小的 SRAM以及Flash做为内存和程序存储器, 一般来说, 这个内部存储器是足够的, 但当程…

STM32借助Mbed OS多线程调节电脑音量

Mbed定义STM32 STM32 本文使用 Black Pill STM32&#xff0c;其DIP 外形尺寸适合任何面包板&#xff0c;并且 USB C 电缆可以为电路板供电&#xff0c;除非您驱动大量外部电路&#xff0c;否则您可能不需要外部电源。 我使用的 STLink 加密狗有 10 个引脚。 不幸的是&#x…

STM32以JSON格式发布HTTP请求

在本文中&#xff0c;我们将学习如何将SIM800或SIM900与STM32微控制器一起使用&#xff0c;以及如何将JSON格式的HTTP发布请求发送到任何API服务器。 介绍 除了Wifi模块或以太网模块&#xff0c;IoT项目也可以通过SIM800 / 900 GSM GPRS模块完成。与Wifi IoT相比&#xff0c;…

STM32F030R8Tx使用HAL库实现uart通信

准备工程&#xff0c;此例程在以下链接的工程的基础上添加的uart功能 https://blog.csdn.net/mygod2008ok/article/details/106910607 添加stm32f0xx_hal_uart.c和stm32f0xx_hal_uart_ex.c到工程 在stm32f0xx_hal_conf.h中打开HAL_UART_MODULE_ENABLED宏 新建BSP_uart.c和BSP_…

STM32F030R8Tx使用HAL库实现PWM驱动蜂鸣器

准备工程&#xff0c;此例程在此链接的工程的基础上添加PWM功能 https://blog.csdn.net/mygod2008ok/article/details/106890382 需要添加stm32f0xx_hal_tim.c和stm32f0xx_hal_tim_ex.c两个文件到工程 在stm32f0xx_hal_conf.h文件打开HAL_TIM_MODULE_ENABLED宏 新建BSP_pwm.c…

GD32F3x0使用定时器实现高精度Delay延时函数

GD32F3x0 单片机使用定时器做Delay延时函数的代码&#xff1a; /************************************************************ Copyright (C), 2013-2022 FileName: Delay.h Author : 祥子 QQ:570525287 Version : 1.0 Date : 2022-1-5 Description: Function List: …

stm32与gd32启动时间对比

背景 LCD1602的应用程序从stm32移植到gd32后&#xff0c;上电瞬间会显示黑条。因为应用层程序都是一样的&#xff0c;所以猜想是启动时间上区别。 1、没有程序的时候&#xff1a; 在单片机没有程序的情况下&#xff0c;上电后就会显示黑条&#xff0c;所以属于正常现象。查阅…

RT-Thread | 对象容器与双链表

1024G 嵌入式资源大放送&#xff01;包括但不限于C/C、单片机、Linux等。关注微信公众号【嵌入式大杂烩】&#xff0c;回复1024&#xff0c;即可免费获取&#xff01; 前言 在我们嵌入式中&#xff0c;可能会有些人认为数据结构与算法相关知识没什么用&#xff0c;很少用得上。…

逻辑门电路PROTUES仿真

我们先看与门 再看一下或门 非门 或非门

LM393比较器用PROTUES仿真

我们都知道LM393是比较器&#xff0c;下面我们来仿真一下 可以看到随着输入不断变化&#xff0c;比较的结果也不断变化&#xff0c;LED指示灯在不断地闪烁

模拟电路单片机硬件比例运算放大器LM358通过PROTUES演示

LM358是双运算放大器。内部包括两个独立的、高增益、内部频率补偿的运算放大器&#xff0c;适合于电源电压范围很宽的单电源使用。 下面我们用PROTUES演示一下同相比例放大与反相比例放大 这个是同相比例放大&#xff0c;放大倍数为50K/5K17.78/0.7111 下面我们演示一下反相比…

RT-Thread | FAL软件包的使用,对FLASH进行分区管理

1024G 嵌入式资源大放送&#xff01;包括但不限于C/C、单片机、Linux等。关注微信公众号【嵌入式大杂烩】&#xff0c;回复1024&#xff0c;即可免费获取&#xff01; 什么是分区管理 FLASH分区管理是怎么一回事呢&#xff1f;我们可以以个人电脑来做类比&#xff0c;我们的电脑…

资源 | 分享一份很棒的LittlevGL资料

1024G 嵌入式资源大放送&#xff01;包括但不限于C/C、单片机、Linux等。关注微信公众号【嵌入式大杂烩】&#xff0c;回复1024&#xff0c;即可免费获取&#xff01; 之前有一些读者朋友让我多写一些GUI使用的笔记&#xff0c;由于精力很有限&#xff0c;暂时写不动。这不&…

STM32之音频数据的Flash读取与DAC播放

文章目录一. STM32103之内部Flash原理1. Flash介绍2. Flash的组成3. STM32内部框架图二. SD卡的读写1. 实验过程2. 查看hello.txt3. 从SD卡里读出数据三. Flash地址空间的数据读取1. Flash写入流程2. 配置STM32CubeMX3. 修改代码4. 设置ST-Link5. Keil配置6. 调试程序四. 实例—…

使用CubeMx制作一个SD卡作为存储空间的U盘

1 前言 本文总结如何使用CubeMx制作一个U盘。 2 了解硬件平台 由于本文将基于STM3240G-EVAL平台&#xff0c;主要用到USB外设和SDIO外设&#xff0c;所以我们主要是看这两部分外围电路。 USB外围电路&#xff1a; 图1 USB外围电路我们这里USB将作为从设备&#xff0c;因此…

【STM32教程】第二章 通用输入输出口GPIO

资料下载链接&#xff1a; 链接&#xff1a;https://pan.baidu.com/s/1hsIibEmsB91xFclJd-YTYA?pwdjauj 提取码&#xff1a;jauj 1. GPIO的基本结构 1.1 概述 GPIO&#xff08;General Purpose Input Output&#xff09;意思是通用输入输出口可配置为8种输入输出模式&a…

stm32之31.iic

iic双线制。一根是SCL&#xff0c;作为时钟同步线;一根是SDA&#xff0c;作为数据传输线 SDN #include "iic.h"#define SCL PBout(8)#define SDA_W PBout(9) #define SDA_R PBin(9)void IIC_GPIOInit(void) {GPIO_InitTypeDef GPIO_InitStructure;//使能时钟GR…

openpnp - use STM32 arduino on SchultzController

文章目录 openpnp - use STM32 arduino on SchultzController概述笔记官方的起始文档增加arduino第三方开发板库索引地址改好后, 能编译过的工程SchultzController.inoFeeder.hFeeder.cpp再验证一下内存是否够用补充 - 如果是自己做的板子END openpnp - use STM32 arduino on S…

STM32 CAN快速配置(HAL库版本)

STM32 CAN快速配置&#xff08;HAL库版本&#xff09; 目录 STM32 CAN快速配置&#xff08;HAL库版本&#xff09;前言1 软件编程1.1 初始化1.1.1 引脚设置1.1.2 CAN参数设置1.1.3 CAN滤波器设置 1.2 CAN发送1.3 CAN接收 2 运行测试结束语 前言 控制器局域网总线&#xff08;CA…

STM32--蓝牙

本文主要介绍基于STM32F103C8T6和蓝牙模块实现的交互控制 简介 蓝牙&#xff08;Bluetooth&#xff09;是一种用于无线通信的技术标准&#xff0c;允许设备在短距离内进行数据交换和通信。它是由爱立信&#xff08;Ericsson&#xff09;公司在1994年推出的&#xff0c;以取代…

UART串口的8倍过采样和16倍过采样原理

由于在空闲状态时&#xff0c;传送线为逻辑“1”状态&#xff0c;而数据的传送总是以一个起始位“0”开始&#xff0c;所以当接收器检测到一个从"1"向"0”的跳变时&#xff0c;便视为可能的起始位&#xff08;要排除干扰引起的跳变) ;起始位被确认后,就知道发送…

09:STM32-------USART串口通信+串口数据包

目录 一:串口协议 1:通信接口 2:串口通信 3:硬件电路 4:电平标准 5:串口参数及其时序 二:USART介绍 1:简历 2:USART框图 3:USART的基本结构 4:数据帧 5: 波特率发生器 6:数据模式 三:案例 A:串口发送--单发送 1:连接图 2:函数介绍 3:代码 B:串口发送接收 1…

02_常见网络层协议的头结构

1.ARP报文的报文结构 ARP首部的5个字段的含义&#xff1a; 硬件类型&#xff1a;值为1表示以太网MAC地址。 协议类型&#xff1a;表示要映射的协议地址类型&#xff0c;0x0800 表示映射为IP地址。 硬件地址长度&#xff1a;在以太网ARP的请求和应答中都是6&#xff0c;表示M…

正点原子嵌入式linux驱动开发——Busybox根文件系统构建

前面已经移植了TF-A、Uboot和Linux kernel&#xff0c;就剩最后一个 rootfs(根文件系统)了&#xff0c;本章就来学习一下根文件系统的组成以及如何构建根文件系统。这是Linux系统移植的最后一步&#xff0c;根文件系统构建好以后就意味着拥有了一个完整的、可以运行的最小系统 …

驱动开发--day2

实现三盏灯的控制&#xff0c;编写应用程序测试 head.h #ifndef __HEAD_H__ #define __HEAD_H__#define LED1_MODER 0X50006000 #define LED1_ODR 0X50006014 #define LED1_RCC 0X50000A28#define LED2_MODER 0X50007000 #define LED2_ODR 0X50007014#endif mychrdev.c #inc…

STM32 CAN/CANFD软件快速配置(HAL库版本)

STM32 CAN/CANFD软件快速配置&#xff08;HAL库版本&#xff09; 目录 STM32 CAN/CANFD软件快速配置&#xff08;HAL库版本&#xff09;前言1 软件编程1.1 建立工程1.2 初始化1.2.1 引脚设置1.2.2 CAN基本参数设置1.2.3 CAN收发初始化设置1.2.4 中断设置 1.3 CAN发送1.4 CAN接收…

使用Jlink合并mcu的boot和app

1、将boot和app文件烧录进mcu 2、打开JFlash.exe软件 3、选择相应的mcu&#xff0c;本文使用GD32F303VC 4、连接mcu 5、依次选择&#xff0c;手动&#xff0c;读取&#xff0c;整个芯片 6、读取过程&#xff0c;会显示进度条 7、读取完成 8、将读取到的文件&#xff0c;另存为…

STM32 | 串口空闲中断接收不定长数据(DMA方式)

1024G 嵌入式资源大放送&#xff01;包括但不限于C/C、单片机、Linux等。关注微信公众号【嵌入式大杂烩】&#xff0c;回复1024&#xff0c;即可免费获取&#xff01; 在使用STM32的串口接收数据的时候&#xff0c;我们常常会使用接收中断的方式来接收数据&#xff0c;常用的是…

SPI方式读取汉字字库芯片

需求&#xff1a; 在液晶屏上显示指定的汉字 方案1&#xff1a;以带有汉字的图片形式显示 否定原因&#xff1a; 1&#xff09;在画面展示时&#xff0c;会覆盖显示背景&#xff0c;不美观协调 2&#xff09;需要先由图片格式转换成位图格式&#xff0c;不连贯&#xff0c;不方…

基于STM32CubMX和HAL库的外设开发(七、GPIO作为外部中断)

文章目录基于STM32CubMX和HAL库的外设开发第七讲 GPIO作为外部中断一、外部中断简介二、示例一&#xff1a;按键的中断识别1、配置引脚为外部中断模式2、配置GPIO参数&#xff0c;触发沿&#xff0c;上下拉3、到NVIC中使能相应中断&#xff08;有需要的可以配置优先级&#xff…

基于STM32CubMX和HAL库的外设开发(六、ADC采样)

文章目录基于STM32CubMX和HAL库的外设开发第六讲 ADC采样一、 ADC简介1、什么是ADC?2、ADC相关参数二、ADC参数配置示例1&#xff1a;独立ADC单通道单次转换模式示例2&#xff1a;独立ADC单通道连续转换模式示例3&#xff1a;独立ADC多通道单次转换模式示例4&#xff1a;独立A…

STM32单片机基础(理论概念知识)

文章目录STM32单片机基础&#xff08;理论概念预备备&#xff09;&#xff08;一&#xff09;什么是STM321、STM32字面含义2、优点&#xff08;二&#xff09;STM32能做什么&#xff08;三&#xff09;STM32怎么选型&#xff08;四&#xff09;STM32应用&#xff08;寄存器&…

S32K144开发板

目录 一&#xff0e;S32K144开发板概述 二&#xff0e;产品技术和功能规格 三&#xff0e;开发环境 1.S32K144的开发环境主流是这么三种&#xff1a; 2.开发板Demo工程 四&#xff0e;S32K144开发板实物图 五、汽车大灯硬件架构 一&#xff0e;S32K144开发板概述 S32K14…

rt-thread------串口V1(三)接收

系列文章目录 rt-thread 之 fal移植 rt-thread 之 生成工程模板 STM32------串口理论篇 rt-thread------串口V1版本&#xff08;一&#xff09;配置 rt-thread------串口V1版本&#xff08;二&#xff09;发送篇 文章目录 系列文章目录一、串口的接收中断接收DMA接收 一、串口…

基于MATLAB的车牌识别系统研究

随着国民经济不断增长,人们生活水平不断提高,汽车逐步走进了普通家庭。越来越多的家庭选择汽车作为代步工具,促进了智能交通系统的快速发展。而车牌识别系统作为智能交通系统的重要组成部分,能够自动识别出车牌,节省了人力,简化了工作流程,提高了识别速度的同时也避免了…

STM32驱动舵机原理和实现

最近几年国内机器人开始起步发展,很多高校、中小学都开始进行机器人技术教学。小型的机器人、模块化的机器人、组件式的机器人是教学机器人的首选。在这些机器人产品中,舵机是很关键,使用较多的部件。根据控制方式,舵机应该称为微型伺服马达。早期在模型上使用最多,主要用…

(STM32H5系列)STM32H573RIT6、STM32H573RIV6、STM32H573ZIT6嵌入式微控制器基于Cortex®-M33内核

一、应用 工业&#xff08;PLC、工业电机控制、泵和压缩机&#xff09; 智能家居&#xff08;空调、冰箱、冰柜、中央警报系统、洗衣机&#xff09; 个人电子产品&#xff08;键盘、智能手机、物联网标签、跟踪设备&#xff09; 智能城市&#xff08;工业通信、照明控制、数字…

STM32CubeMX systick bug?

发觉用新版&#xff08;V6.9.1&#xff09;的它生成代码&#xff0c;会有问题。可能是 BUG。具体如下&#xff1a; 一个简单的点灯程序&#xff0c;用 Keil MDK 5.38a&#xff08;compiler version 6&#xff09;编译。 如果在变量前&#xff0c;不加上关键字“volatile”&am…

【正点原子STM32连载】 第三十一章 睡眠模式实验 摘自【正点原子】APM32F407最小系统板使用指南

1&#xff09;实验平台&#xff1a;正点原子stm32f103战舰开发板V4 2&#xff09;平台购买地址&#xff1a;https://detail.tmall.com/item.htm?id609294757420 3&#xff09;全套实验源码手册视频下载地址&#xff1a; http://www.openedv.com/thread-340252-1-1.html# 第三…

STM32单片机—定时器产生PWM波

STM32单片机—PWM波 PWM波概述定时器产生PWM配置CubeMX配置产生PWM波Hal库产生PWM实现呼吸灯程序设计固件库PWM波程序配置及实现 PWM波概述 什么是PWM   PWM简称脉冲宽度调制&#xff0c;即在一个周期内存在不同极性的电平状态。PWM频率   是指一秒钟内从高电平时间在到低…

STM32F103RCT6学习笔记1:GPIO认识—点灯

今日开始快速掌握这款STM32F103RCT6芯片的环境与编程开发&#xff0c;有关基础知识的部分不会多唠&#xff0c;直接实践与运用&#xff01;文章贴出代码测试工程与测试效果图&#xff1a; 目录 STM32F103RCT6参数解读&#xff1a; GPIO的基础认识与分类&#xff1a; 串口相…

基于STM32+华为云IOT设计的智能车库管理系统

一、项目介绍 随着城市化进程和汽车拥有率的不断提高&#xff0c;停车难的问题也日益凸显。在城市中&#xff0c;停车场是一个非常重要的基础设施&#xff0c;但是传统的停车场管理方式存在很多问题&#xff0c;比如车位难以管理、停车费用不透明等。为了解决这些问题&#xf…

太阳能供电模块

基于Solar Cell的锂电池充放电模块 由于一些需求&#xff0c;最近做了一款基于太阳能的锂电池充放电模块。该模块能够利用太阳能为锂电池充电和为负载提供5V的电压&#xff0c;在太阳能不充足的条件下&#xff0c;由锂电池提供需要的能量。 主要思路是将太阳能板获得的能量存储…

按键检测|中断检测

一.按键检测 1.硬件原理 当未按下按键时&#xff0c;GPIO_5为低电平&#xff0c;按下按键GPIO_5变为高电平。 根据引脚编号找到引脚名称 根据引脚名称找到引脚编号 裸机程序控制外设 特点&#xff1a;读数据手册、设寄存器值 找出外设有哪些相关寄存器找出外设相关寄存器如何…

STM32驱动步进电机

前言 &#xff08;1&#xff09;本章介绍用stm32驱动42步进电机&#xff0c;将介绍需要准备的硬件器材、所需芯片资源以及怎么编程及源代码等等。 &#xff08;2&#xff09;实验效果&#xff1a;按下按键&#xff0c;步进电机顺时针或逆时针旋转90度。 &#xff08;3&#xff…

(四)正点原子STM32MP135移植——u-boot移植

一、概述 u-boot概述就不概述了&#xff0c;u-boot、kernel、dtb三件套&#xff0c;dddd 经过国庆艰苦奋战&#xff0c;已经成功把所有功能移植好了 二、编译官方代码 进入u-boot的目录 2.1 解压源码、打补丁 /* 解压源码 */ tar xf u-boot-stm32mp-v2022.10-stm32mp-r1-r0.…

【STM32】IAP升级03关闭总中断,检测栈顶指针

IAP升级方法 IAP升级时需要关闭总中断 TM32在使用时有时需要禁用全局中断&#xff0c;比如MCU在升级过程中需禁用外部中断&#xff0c;防止升级过程中外部中断触发导致升级失败。 ARM MDK中提供了如下两个接口来禁用和开启总中断&#xff1a; __disable_irq(); // 关闭总中…

【Proteus仿真】【STM32单片机】多功能智能台灯

文章目录 一、功能简介二、软件设计三、实验现象联系作者 一、功能简介 本项目使用Proteus8仿真STM32单片机控制器&#xff0c;使用LCD1604液晶、按键、蜂鸣器、语音识别模块、PCF8591 ADC模块、DHT11温湿度传感器、光线传感器、台灯、人体红外传感器等。 主要功能&#xff1a…

九、Delay函数

1、两个延时函数 vTaskDelay&#xff1a;至少等待指定个数的Tick Interrupt才能变为就绪态。vTaskDelayUntil&#xff1a;等待到指定的绝对时刻&#xff0c;才能变为就绪态。 2、函数原型 /* xTicksToDelay: 等待多少个Tick */ void vTaskDelay( const TickType_t xTicksToD…

Stm32_标准库_5_呼吸灯_按键控制

Stm32按键和输出差不多 PA1为LED供给正电&#xff0c;PB5放置按键&#xff0c;按键一端接PB5,另一端接负极 void Key_Init(void){RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOB, ENABLE); //APB2总线连接着GPIOBGPIO_InitStructur.GPIO_Mode GPIO_Mode_IPU;GPIO_InitStructur.…

Linux系统编程(七):线程同步

参考引用 UNIX 环境高级编程 (第3版)黑马程序员-Linux 系统编程 1. 同步概念 所谓同步&#xff0c;即同时起步、协调一致。不同的对象&#xff0c;对 “同步” 的理解方式略有不同 设备同步&#xff0c;是指在两个设备之间规定一个共同的时间参考数据库同步&#xff0c;是指让…

【STM32基础 CubeMX】定时器的使用

文章目录 前言一、定时器是什么二、CubeMX配置定时器三、代码分析3.1 CubeMX代码分析3.2 几个库函数以中断的方式开启定时器定时器中断函数 四、定时器应用4.1 定时器闪烁LED 总结 前言 在嵌入式系统开发中&#xff0c;精确地控制时间和时序是至关重要的。STM32微控制器提供了…

边缘计算网关

一、项目整体框架图 二、项目整体描述 边缘计算网关项目主要实现了智能家居场景和工业物联网场景下设备的数据采集和控制。 整个项目分为三大层&#xff1a;用户接口层、网关层、设备层。 其中用户层通过QT客户端、WEB界面及阿里云提供数据展示和用户接口。 网关使用虚拟机代替…

(二)正点原子STM32MP135移植——TF-A移植

目录 一、TF-A概述 二、编译官方代码 2.1 解压源码 2.2 打补丁 2.3 编译准备 &#xff08;1&#xff09;修改Makfile.sdk &#xff08;2&#xff09;设置环境变量 &#xff08;3&#xff09;编译 三、移植 3.1 复制官方文件 3.2 修改电源 3.3 修改TF卡和emmc 3.4 添…

STM32CubeMX学习笔记-USB接口使用(HID按键)

STM32CubeMX学习笔记-USB接口使用&#xff08;HID按键&#xff09; 一、USB简介1.1 USB HID简介 二、新建工程1. 打开 STM32CubeMX 软件&#xff0c;点击“新建工程”2. 选择 MCU 和封装3. 配置时钟4. 配置调试模式 三、USB3.1 参数配置3.2 引脚配置3.3 配置时钟3.4 USB Device…

基于STM32 ZigBee无线远程火灾报警监控系统物联网温度烟雾

实践制作DIY- GC00168---ZigBee无线远程监控系统 一、功能说明&#xff1a; 基于STM32单片机设计---ZigBee无线远程监控系统 二、功能说明&#xff1a; 1个主机&#xff1a;STM32F103C系列单片机LCD1602显示器蜂鸣器 ZigBee无线模块3个按键&#xff08;设置、加、减&#xff0…

嵌入式学习笔记(46) NandFlash的结构

9.2.1 Nand的单元组织&#xff1a;block与page&#xff08;大页Nand与小页Nand&#xff09; (1)Nand的页和以前讲过的块设备&#xff08;尤其是硬盘&#xff09;的扇区是类似的。扇区最早在磁盘中是512字节&#xff0c;后来也有些高级硬盘扇区不是512字节&#xff0c;而是1024…

项目进展(九)-完善ADS1285代码

一、读寄存器数据 目前读取寄存器已经成功&#xff0c;下图是写入的三个寄存器的值&#xff0c;第二张图是仿真测试的结果。 二、读转换数据 在前面的博客项目进展&#xff08;七&#xff09;-焊接ADS1285及其外围电路&#xff0c;学习芯片的SPI部分中&#xff0c;出现了一些…

stm32之雨滴传感器使用记录

一、简介 雨滴传感器、烟雾传感器&#xff08;MQ2&#xff09;、轨迹传感器、干黄管等的原理都类似&#xff0c;都是将检测到的信号通过LM393进行处理之后再输出&#xff0c;可以输出数字信号DO&#xff08;0和1&#xff09;和模拟信号A0。 雨滴传感器在正常情况下是AO输出的是…

2023版 STM32实战7 通用同步/异步收发器(串口)F103/F407

串口简介和习惯 -1-通用同步异步收发器 (USART) 能够灵活地与外部设备进行全双工数据交换&#xff0c;满足外部设备对工业标准 NRZ 异步串行数据格式的要求。 -2-硬件流控制一般是关闭的 -3-波特率指单位时间传输bit个数 -4-数据位一般是8位 -5-一般无校验位 编写代码思路 -1-参…

基于腾讯云的OTA远程升级

一、OTA OTA即over the air,是一种远程固件升级技术&#xff0c;它允许在设备已经部署在现场运行时通过网络远程更新其固件或软件。OTA技术有许多优点&#xff0c;比如我们手机系统有个地方做了优化&#xff0c;使用OTA技术我们就不用召回每部手机&#xff0c;直接通过云端就可…

机械臂运动控制,通讯的解包->运动控制->数据封包上报过程

一、协议 数据格式为小端模式&#xff0c;浮点数格式为IEEE754&#xff0c;需与上位机的PC端一致&#xff0c;如window系统&#xff0c;其它系统需要自行测试&#xff0c;用于传输16位、32位、float数据格式&#xff0c;避免只传输字节数据带来转换的繁琐及精度丢失。 二、下位…

freeRTOS的数据类型定义(portmacro.h文件里)

freeRTOS的数据类型定义&#xff08;portmacro.h文件里&#xff09; 解读&#xff1a; https://www.bilibili.com/video/BV1n8411y7DF/?buvidXY4F2994565924F2FFA7142AEEB2220A602A4&is_story_h5false&mid%2B8iBjJuKH0VIWaMd8h0wSQ%3D%3D&p1&plat_id114&s…

基于STM32设计的智能水产养殖系统(华为云IOT)

一、设计简述 基于STM32设计的智能水产养殖监测系统 1.1 项目背景 随着经济的发展和人口的增长,对水产养殖的需求不断增加。然而,传统的水产养殖方式存在一系列问题,如水质污染、鱼病爆发等。因此,智能化水产养殖技术成为当前热门研究领域。其中,基于物联网技术的智能水产…

STM32--MQ2烟雾传感器

本文主要介绍STM32F103C8T6和烟雾传感器模块的控制算法 简介 烟雾模块选用MQ-2气体传感器&#xff0c;根据传感器的电导率随空气中可燃气体浓度的增加而增大的特性检测空气中可燃气体&#xff0c;然后将电导率的变化转换成对应的电信号 MQ系列烟雾传感分类如下&#xff1a; 该…

项目进展(十)-解决ADS1285在调试时出现的问题

一、解决大坑 在项目进展&#xff08;九&#xff09;-完善ADS1285代码这边博客中&#xff0c;看似解决了问题&#xff0c;可以去读数据&#xff0c;但是其实是给自己挖大坑&#xff0c;这边博客就是来填坑的。   首先呢&#xff0c;上篇博客说的是用0x12指令来读取数据&#…

STM32入门笔记14_RTC实时时钟

BKP和RTC实时时钟 BKP BKP简介 BKP(Backup Registers) 备份寄存器BKP可用于存储用户应用程序数据。当VDD(2.0-3.6V) 电源被切断时&#xff0c;仍然由VBAT(1.8-3.6V) 维持供电。当系统在待机模式下被唤醒&#xff0c;或系统复位或电源复位时&#xff0c;也不会被复位TAMPER引…

【STM32单片机】宠物定时喂食器设计

文章目录 一、功能简介二、软件设计三、实验现象联系作者 一、功能简介 本项目使用STM32F103C8T6单片机控制器&#xff0c;使用按键、IIC OLED液晶、蜂鸣器、继电器投喂控制等。 主要功能&#xff1a; 系统运行后&#xff0c;OLED液晶显示初始时间&#xff0c;默认工作在自动投…

stm32 hal库 st7789 1.54寸lcd

文章目录 前言一、软件spi1.cubemx配置2.源码文件 二、硬件spi1.cubemx配置2.源码文件3.小小修改 总结 前言 1.54寸lcd 240*240 一、软件spi 1.cubemx配置 一定要注意把这几个东西上拉。 使用c8 2.源码文件 我使用的是中景园的源码&#xff0c;他本来是是标准库的稍微修改…

STM32单片机入门学习(五)-按钮控制LED

按钮和LED接线 LED负极接B12,正极接VCC 按钮一端接B13,一端接GND&#xff0c;按下为低电平&#xff0c;松开为高电平 如图&#xff1a; 主程序代码&#xff1a;main.c #include "stm32f10x.h" #include "Delay.h" //delay函数所在头文件 #include …

Stm32_标准库_9_TIM

频率(HZ)是频率的基本单位1HZ是1s的倒数 STM32F103C8T6一般情况给定时器的内部时钟都是72MHz&#xff08;系统主频率&#xff09; TIM基本构成 计数器、预分频器、自动化重装 // 都是16位其中计数器、自动化重装&#xff0c;都是16位换算成10进制范围为[0, 655536] 时间 1 /…

正点原子嵌入式linux驱动开发——U-boot图形化配置及其原理

经过之前对uboot的学习可以知道&#xff1a;uboot可以通过stm32mp15_trusted_defconfig来配置&#xff0c;或者通过文件stm32mp1.h来配置uboot。还有另外一种配置uboot的方法&#xff0c;就是图形化配置&#xff0c;以前的uboot是不支持图形化配置&#xff0c;只有Linux内核才支…

STM32 CAN使用记录:FDCAN基础通讯

文章目录 目的关键配置与代码轮询方式中断方式收发测试 示例链接总结 目的 CAN是非常常用的一种数据总线&#xff0c;被广泛用在各种车辆系统中。这篇文章将对STM32中FDCAN的使用做个示例。 CAN的一些基础介绍与使用可以参考下面文章&#xff1a; 《CAN基础概念》https://blo…

STM32 CAN使用记录:bxCAN基础通讯

文章目录 目的关键配置与代码轮询方式中断方式收发测试 示例链接总结 目的 CAN是非常常用的一种数据总线&#xff0c;被广泛用在各种车辆系统中。这篇文章将对STM32中CAN的使用做个示例。 CAN的一些基础介绍可以参考下面文章&#xff1a; 《CAN基础概念》https://blog.csdn.n…

笔记--总线舵机YB-SD15M--stm32

文章目录 前言一、官方文档的理解1.发送格式2.命令地址 二、控制文件1.c2.h 文件 前言 使用stm32控制这个总线舵机。 舵机为总线舵机。一定要配合控制板一起用&#xff0c;不然只使用stm32无法控制。 一、官方文档的理解 1.发送格式 发送格式如下&#xff0c;其中的指令类型…

【STM32】看门狗

【STM32】看门狗 看门狗简介 看门狗起始就是一个定时器&#xff0c;从功能上说它可以让微控制器在程序发生意外&#xff08;程序进入死循环或跑飞&#xff09;的时候&#xff0c;能重新恢复到系统刚上电状态&#xff0c;以保障系统出问题的时候可以重启一次。说的简单一点&am…

2023版 STM32实战9 RTC实时时钟/闹钟

RTC简介 实时时钟是一个独立的定时器。RTC模块拥有一组连续计数的计数器&#xff0c;在相应软件配置下&#xff0c;可提供时钟日历的功能。修改计数器的值可以重新设置系统当前的时间和日期。 注意事项 -1- 要手动配置中断寄存器 -2- 需要等待写操作完成 -3- 时钟闹钟中段…

STM32单片机入门学习(六)-光敏传感器控制LED

光敏传感器模块和LED接线 LED负极接B12,正极接VCC 光敏传感模块一DO端接B13,GND接GND&#xff0c;VCC接VCC,AO不接。 如图&#xff1a; 主程序代码&#xff1a;main.c #include "stm32f10x.h" #include "Delay.h" //delay函数所在头文件 #include …

二、C语言基本数据类型

在C语言中&#xff0c;数据类型指的是数据的一种属性。它告诉编译器或程序员打算如何使用数据。 1、基本数据类型 整形字符型浮点型 1.1、整形 (1)char (2)unsigned char (3)int (4)unsigned int (5)short (6)unsigned short (7)long (8)unsigned long 1.2、浮点型…

ad5665r STM32 GD32 IIC驱动设计

本文涉及文档工程代码&#xff0c;下载地址如下 ad5665rSTM32GD32IIC驱动设计,驱动程序在AD公司提供例程上修改得到,IO模拟的方式进行IIC通信资源-CSDN文库 硬件设计 MCU采用STM32或者GD32,GD32基本上和STM32一样,针对ad566r的IIC时序操作是完全相同的. 原理图设计如下 与MC…

KEIL5添加沁恒的ch55x芯片(其他非arm和stm32芯片也可使用类似的方法)

准备工作 参考&#xff1a;https://www.iotword.com/8615.html 已经安装好keil5的软件环境 烧录工具下载 沁恒烧录工具地址&#xff0c;下载安装后如下图 操作步骤 打开从沁恒官网下载安装好的WHCISPTOOL软件 安装下图中的操作方式完成对安装软件keil5中的配置文件的生…

ODrive移植keil(六)—— 测量电阻电感和电流环PI参数整定

目录 一、硬件接线二、程序演示2.1、官方代码操作2.2、移植后的代码操作 三、测量原理3.1、测量电阻3.2、测量电感 四、代码说明五、电流环PI参数整定 ODrive、VESC和SimpleFOC 教程链接汇总&#xff1a;请点击 一、硬件接线 驱动板 购买链接&#xff1a;某宝购买 电机 购买链接…

Arduino是如何实现打开串口时,程序复位的?

引言 使用过Arduino的都应该发现过&#xff0c;在打开Arduino IDE自带的COM串口助手的时候&#xff0c;Arduino开发板会复位。在打开其他串口助手的时候也会。这是为什么呢&#xff1f; 原因分析 首先看看arduino的原理图&#xff1a; 我们可以看看与复位引脚相关的部…

STM32 CubeMX ADC采集 单通道,多通道,内部温度(轮询,DMA,中断)(HAL库)

STM32 CubeMX ADC采集&#xff08;HAL库&#xff09; STM32 CubeMX STM32 CubeMX ADC采集&#xff08;HAL库&#xff09;ADC介绍ADC主要特征Vref的电压&#xff08;2.4~3.6&#xff09;就是ADC参考电压2.4V&#xff08;相当于秤砣&#xff09; 最小识别电压值&#xff1a;2.4/4…

自制六足仿生机器人

摘要:经过大一那次失败之后,我决定暂时停止该项目的开发,转而先去学习那些有关嵌入式开发的基础知识,等以后有能力的时候再去独立完成这个六足机器人。很幸运的是在我大学本科即将结束的时候,我已掌握了足够的知识来完成那个曾经困扰我已久的机器人项目,于是我花了几周的…

STM32CubeMX配置GPIO点亮LED

1、新建工程 2、选择自己所使用的芯片&#xff1a;以stm32F405为例&#xff0c;在Part Number输入对应使用的芯片&#xff0c;然后再窗口MCUs/MPUs List中出现我们想要型号的芯片&#xff0c;双击打开即可。 双击进入&#xff1a; 3、配置时钟&#xff08;RCC&#xff09; …

为什么叫“蓝”牙?

蓝牙(Bluetooth)这个词&#xff0c;我们可都太熟悉了。不过你整天说蓝牙、用蓝牙&#xff0c;那蓝牙究竟是个啥呢? 这事得从一位丹麦国王说起 蓝牙&#xff0c;实际上是一种短距离无线通信技术&#xff0c;可实现固定设备、移动设备和楼宇个人域网之间的短距离数据交换(使用2…

Linux系统特性

Linux系统启动流程 参考&#xff1a;​​​​​​Linux 系统启动过程 | 菜鸟教程 内核的引导运行init系统初始化建立终端用户登陆系统(1)典型嵌入式系统的部署&#xff1a;uboot程序部署在Flash&#xff08;能作为启动设备的Flash&#xff09;上、OS部署在Flash&#xff08;嵌入…

ILI9341支持32*32点阵英文字符(stm32)

我们拿的是正点原子的历程来修改的&#xff0c;原先例程只支持1206和1608的我们可以在以下爱的地方修改&#xff0c;做到支持2412和1632的。具体如下&#xff1a; 在GUI.C下找到以下函数&#xff0c;修改即可。我这个是2.4寸的。 void LCD_ShowChar(u16 x,u16 y,u16 fc, u16 b…

利用STM32CubeMX软件生成USB_HOST_CDC驱动ME909s-821ap(4G通信模块)

一、测试平台&#xff1a; MCU:STM32F429IGT6 工具&#xff1a;STM32CubeMX软件 编译软件:MDK 二、配置步骤 (1).打开STM32CubeMX软件&#xff0c;创建新的工程文件&#xff0c;先生成一个不带操作系统的串口1例程&#xff0c;生成串口的例程这里不再详细介绍。 (2).由于测试通…

12.STM32窗口看门狗

1.窗口看门狗概念 独立看门狗是可以早&#xff0c;但是不可以晚。 窗口看门口是不能过早&#xff0c;也不能过早。 示意图 意思&#xff1a;初值下降到W[6:0]前不能喂狗 小于3FH就会产生复位 2.窗口看门狗框图 首先时钟来源PCLK&#xff0c;经过预分频后作为窗口看门狗时钟 …

STM32F429IG移植FreeRTOS

目录一&#xff0c;移植文件1.1 建立文件夹1.2 移植底层文件1.2.1 Source1.2.2 RVDS1.2.3 MemMang1.2.4 FreeRTOSConfig.h1.2.5 user_app_task.C1.3 添加到工程&#xff0c;添加路径二&#xff0c;处理2.1 FreeRTOSConfig.h2.2 stm32f4xx_it.h三&#xff0c;测试3.1 main.c3.2 …

STM32(X):ADC

概述 ADC_Init&#xff08;&#xff09;函数 函数声明&#xff1a;void ADC_Init(ADC_TypeDef* ADCx, ADC_InitTypeDef* ADC_InitStruct); ADCx参数有效性 assert_param(IS_ADC_ALL_PERIPH(ADCx)); #define IS_ADC_ALL_PERIPH(PERIPH) (((PERIPH) ADC1) || \((PERIPH) ADC2…

STM32(X)时钟系统

预备知识 选择器&#xff1a;选择器本质是一个单刀多掷开关 【点我查看】分频和倍频&#xff1a;字面意思&#xff0c;就是将频率翻倍或者放慢&#xff0c;比如4M的时钟变成1M就是4分频&#xff0c;1M的时钟变成128M就是128倍频。寄存器 寄存器可以储存数据&#xff0c;也可以…

STM32(X)问题集

PLL是一个独立的时钟源吗&#xff1f;为什么它是作为时钟源出现

机器人路径规划之栅格地图(一)

什么是栅格地图 栅格地图&#xff1a;即以机器人出生点为中心&#xff0c;x轴和y轴的分辨率为单个格子大小&#xff0c;当x轴分辨率y轴分辨率时&#xff0c;则每个格子为一个正方形&#xff0c;分辨率越小则表示地图精度越高&#xff0c;比如一个格子大小为1cm 1cm&#xff0…

stm32+lcd显示汉字之GBK编码

一、整体思路 最近在搞LCD显示汉字、特殊图形的问题。以前玩1602的时候自己做过字模&#xff0c;就是通过1602自带的用户DIY的一个存储点阵区CGROM&#xff0c;把做好的字模转化成点阵的数据存储在CGROM中&#xff0c;最后把汉字显示在1602上面。但是当时是用51做的&#xff0…

32--f----4--duoji timer9--e5+e6

pwm.c 注意定时器9挂载在APB2总线上面&#xff0c;主时钟是168Mhz,通用你定时器是apb1,84Mhz. //定时器9初始化&#xff0c;E5E6 void TIM9_PWM_Init(u32 arr,u32 psc)//E5E6 {GPIO_InitTypeDef GPIO_InitStructure; TIM_TimeBaseInitTypeDef TIM_TimeBaseStructu…

Keil 5(C51, MDK) 官方下载方法

一、概述 keil 公司简介 Keil公司是一家业界领先的微控制器&#xff08;MCU&#xff09;软件开发工具的独立供应商。Keil公司由两家私人公司联合运营&#xff0c;分别是德国慕尼黑的Keil Elektronik GmbH和美国德克萨斯的Keil Software Inc。Keil公司制造和销售种类广泛的开发…

【嵌入式】MCU(HC32F460)+SPI接口LCD液晶屏ILI9341 移植emWin记录1----点亮LCD屏

目录 一 SPI屏的接线 二 SPI屏驱动初始化 三 SPI屏点亮 四 附录 一 SPI屏的接线 SPI屏的特点在于接线简单&#xff0c;只需要四根SPI线以及几个GPIO口即可驱动工作&#xff0c;但是由于非并口的&#xff0c;所以当像素比比较大的时候&#xff0c;刷新速度可能会受到考验。我…

2019年电赛D题简易电路特性测试仪试题ad5933测量小阻抗值

ad5933 继上一篇的文章&#xff0c;我后面才发现一个大问题&#xff0c;就是上一次计算的增益系数其实不能测量其他小的阻抗值&#xff0c;在参考手册里面就有一章讲的就是小阻抗的 这一个小阻抗的扫描频率范围是在《500欧的范围内 当然手册里面也是讲到不同的阻抗值是对应的…

2019年全国大学生电子设计竞赛D题简易电路特性测试仪试题

题目要求部分 我负责的部分就是测量阻抗的部分&#xff0c;这一次我使用的是AD5933 AD5933介绍 我这一篇主要是讲使用5933计算那个待测电路的阻抗值&#xff0c;首先就是在概括处已经说明是我们读取的数据其实是一个实部和一个虚部。 然后我们要记住的是向寄存器0x94&#xf…

【嵌入式】MCU(HC32F460)+SPI接口LCD液晶屏ILI9341 移植emWin记录2----移植emWin

一 emWin移植准备 完成了前一文点亮LCD屏之后&#xff0c;考虑到将要设计较为复杂的界面GUI&#xff0c;光用一些基本的绘图、显示字符接口不能满足要求&#xff0c;所以琢磨着再移植一套emWin&#xff0c;用来辅助设计GUI&#xff08;由emWin的用户手册中可以看到&#xff0c;…

stm32新建工程时头文件中,使用#ifndef #define #endif条件编译,添加硬件驱动源文件

(1)头文件(LED.h文件中)中,使用#ifndef #define #endif条件编译 #ifndef __LED_H //避免头文件内容重复调用 取什么名字没关系 #define __LED_H //如果没有定义__LED_H标识符 没有的话就定义一个__LED_H标识符void LED_Init(void);#endif

STM32F4_HAL库_串口阻塞/中断/DMA三种方式发送数据的配置

1、串口阻塞发送 串口阻塞发送的意思就是&#xff0c;发送一段数据&#xff0c;在没有发送完所有数据之前&#xff0c;一直停留在此发送函数&#xff08;可设定阻塞时间&#xff09;&#xff0c;这个过程中会阻塞别的程序运行&#xff1b; 1.1、配置 HAL库的配置分为两个层次…

从零开始制作小车TB6612控制电机

从零开始制作小车 我用的是stm32f103c8t6的板子&#xff0c;大概讲一下小车用到什么模块吧&#xff0c;我电源部分用的是LM2596的降压模块&#xff0c;比较方便&#xff0c;OLED用的是七针SPI的&#xff0c;电机驱动模块是用TB6612&#xff0c;还有一个是旋转编码器&#xff0…

stm32的PWM1和PWM2的区别解析

对于pwm1和pwm2模式的理解 其实在官方在数据手册提供就直接找到对于这两种模式的解释 PWM1 向上计数时&#xff0c;一旦TIMx_CNT<TIMx_CCR1时通道1为有效电平&#xff0c;否则为 无效电平&#xff1b;在向下计数时&#xff0c;一旦TIMx_CNT>TIMx_CCR1时通道1为无效电平…

stm32库函数GPIO_Init()解析

GPIO_Init函数是IO引脚的初始化函数&#xff0c;进行个个引脚的初始化配置&#xff0c;主要接受两个参数&#xff0c;一个是配置引脚组&#xff08;GPIO_TypeDef* GPIOx&#xff09;&#xff0c;一个是配置的参数( GPIO_InitTypeDef* GPIO_InitStruct),具体如下点击(此处)折叠或…

rt-thread------生成工程模板

系列文章目录 rt-thread 之 fal移植 文章目录系列文章目录前言一、下载ENV 和 RTT源码二、选择BSP生成模板step1 打包模板step2 修改工程模板三、添加软件包和组件step 1选择配置step 2下载软件包和组件step3 生成工程总结常见指令前言 menuconfig 图形化配置界面&#xff0c…

【专题2: 嵌入式stm32】之 【11.stm32芯片概述】

嵌入式工程师成长之路 系列文章 总目录系列文章总目录希望本是无所谓有&#xff0c;无所谓无的&#xff0c;这正如脚下的路&#xff0c;其实地上本没有路&#xff0c;走的人多了&#xff0c;也便成了路原创不易&#xff0c;文章会持续更新&#xff0c;欢迎微信扫码关注公众号承…

一个Arduino串口实验问题分析

一个Arduino串口实验问题分析 给Arduino写了一个代码&#xff0c;目标是通过电脑上串口给Arduino发送数据&#xff0c;然后让Arduino再返回来相同的值&#xff0c;然后显示在串口监视器中。 void setup() {Serial.begin(9600); }void loop() {if (Serial.available()>0){ch…

STM32_基础入门(四)_系统时钟RCC详解(详情到流眼泪)

参考资料&#xff1a; 《STM32F1开发指南-库函数版本》-4.3小节 时钟系统 《STM32中文参考手册V10》-第六章 复位和时钟控制 RCC 持续关注阿杰在线更新保姆式笔记~~坚持日更 目录 一、什么是时钟 二、 为什么 STM32 要有多个时钟源 三、总结 四、STM32的时钟系统框图 五…

STM32_基础入门(三)_库函数按键实验

持续关注阿杰在线更新保姆式笔记~~坚持日更 目录 一、补充C语言知识&#xff1a;static 二、硬件连接 三、GPIO操作说明 四、代码区 一、补充C语言知识&#xff1a;static 二、硬件连接 KEY0:上拉输入 KEY1:上拉输入 WK_UP&#xff1a;下拉输入 三、GPIO操作说明 四、代…

STM32NET学习笔记——TCP部分

1.前言 【由于TCP协议负载&#xff0c;若有说的不对的地方&#xff0c;请及时指出&#xff0c;第一时间改正。本博文2013年2月在某论坛发表&#xff0c;现搬迁至CSDN博客】从实用主义的角度出发&#xff0c;学习嵌入式TCPIP可以直接从本章节开始学习&#xff0c;甚至可以直接从…

FreeRTOS STM32移植笔记

1.前言 【1】其实说不上移植笔记&#xff0c;FreeRTOS已经移植至众多平台&#xff08;MCU&#xff09;&#xff0c;包括MSP430&#xff0c;STM32等&#xff0c;这份笔记完全建立在官方代码的基础之上&#xff0c;简单的说就是修改一些设置从而完成一个呼吸灯实验。 【2】虽然有…

STM32F103完成对SD卡的数据读取(fat文件模式)

文章目录一、实验要求二、SD卡协议SDIO协议简介SD卡物理结构SD 卡寄存器SD卡操作模式SD卡初始化(SPI模式)SD卡读取与写入(SPI模式)三、实验过程使用CubeMX创建工程&#xff1a;代码&#xff1a;四、实验结果五、实验总结六、参考一、实验要求 掌握SD卡协议原理&#xff0c;用S…

基于单片机自动控制的电动模型汽车

摘 要 本文研究了一种基于单片机自动控制的电动模型汽车。主要论述了自动循迹、避障、测距跟随等自动驾驶相关技术在模型车上的应用。 模型汽车以STM32为主控芯片&#xff0c;采用了多种传感器、驱动电机、控制舵机等检测与控制模块&#xff0c;实现了路径循迹行驶和检测避障的…

【无标题】2021年熔化焊接与热切割考试题及熔化焊接与热切割模拟考试题库

题库来源&#xff1a;安全生产模拟考试一点通公众号小程序 安全生产模拟考试一点通&#xff1a;熔化焊接与热切割考试题考前必练&#xff01;安全生产模拟考试一点通每个月更新熔化焊接与热切割模拟考试题库题目及答案&#xff01;多做几遍&#xff0c;其实通过熔化焊接与热切…

STM32CubeMX工程配置——以STM32F103C8T6为例

之前的博客《STM32CubeMX开发环境搭建及示例》已经搭建好了开发环境&#xff0c;但是在开发的过程中总是忘记STM32CubeMX是如何配置工程的&#xff0c;所以这里随手记录一下&#xff0c;本篇博客会随着自己的学习不定时更新。 STM32CubeMX工程配置——以STM32F103C8T6为例下载接…

ST-Link-Nano下载器制作

OpenOCD对于ST-Link的支持比要更好&#xff0c;所以为了用CLion下载程序方便&#xff0c;我复现了稚晖君的ST-Link-Nano&#xff0c;这里记录一下制作过程。 ST-Link-Nano下载器制作电路板制作给本板子下载BootLoader升级固件ST-Link驱动的安装用ST-LinkUpgrade升级固件电路板制…

Keil-C51 与 Keil -ARM 共存的方法

本篇为《嵌入式相关》系列博客的第五篇&#xff0c;该系列博客主要记录单片机开发及硬件设计的相关过程&#xff0c;方便以后查阅。 Keil-C51 与 Keil -ARM 共存的方法状况解决方案1测试解决方案2状况 今天装完Keil-C51&#xff0c;然后又换装了Keil-ARM之后&#xff0c;可以正…

如何下载stm32官方开发板

概述 下载官方评估版 有时候找起来比较费劲。总结如下。 需要注意官方开发板需要高版本ad打开。&#xff08;比如ad18&#xff09; 步骤 第一步 打开官网&#xff08;或者百度搜索官网&#xff09; 首页 - STMicroelectronicshttps://www.st.com/content/st_com/zh.html如…

f429 discovery开发版 LVGL移植(带操作系统)

文章标题准备STM32F429I-DISC1 开发版CUBEMX 工具移植CUBEMX生成基础代码FREERTOS时钟树生成LVGL库移植LVGL 驱动 触摸屏显示触摸导入keil并编译烧录附件本文只针对当时的LVGL v7&#xff0c;LVGL迭代过程中变化较大&#xff0c;部分接口有可能做调整。本文仅供参考准备 之前的…

关于我玩单片机学习路线(个人总结)

关于我玩单片机学习路线&#xff08;个人总结&#xff09; 前言&#xff1a; 提示&#xff1a;这篇文章只是我自己的经验总结&#xff0c;仅供参考哈&#xff01; 重点&#xff1a;兴趣是最好的老师 废话内容哈&#xff01;可以忽略。俺从初中开始接触电子设备&#xff0c;一…

MSP432单片机学习记录(二)

文章目录1、复位视图2、代码提示3、新建自己的硬件库&#xff08;hardware&#xff09;4、中断的使用前面的文章提到了ccs工程的创建&#xff0c;下面继续介绍msp432的使用&#xff0c;上一篇文章链接如下所示&#xff1a;MSP432单片机学习记录&#xff08;Code Composer Studi…

STM32使用CJSON获取心知天气

文章目录1、程序配置2、新建json数据3、读取json数据4、解析心知天气5、ESP01S获取数据6、STM32获取数据本文是接着上一篇文章的后续来写&#xff0c;上一篇写的太长了后面太累了就没写完&#xff0c;决定就是新开一篇来继续把他写完。 上一篇文章为&#xff1a; STM32使用esp0…

水比赛专用-蓝牙调试器

文章目录1、蓝牙调试器介绍2、功能体验3、程序移植4、实现效果5、源码做比赛的时候免不了要做一些页面方面的展示&#xff0c;亦或者一些遥控什么的方面的远程启动&#xff0c;常见的无线通信方式如蓝牙&#xff0c;wifi等是很多大学生竞赛中的常客&#xff0c;因此这里我就把我…

蓝牙模块(HC-05/HC-06)详解

这里写目录标题 0. 蓝牙概述蓝牙技术的特点 1. 常见的蓝牙模块2. HC-05/HC-062.1 概念2.2 区别 3. STM32使用HC-05通信3.1 方法3.2 示例代码 0. 蓝牙概述 蓝牙&#xff08;Bluetooth&#xff09;是一种用于无线通信的技术标准&#xff0c;允许设备在短距离内进行数据交换和通信…

【STM32智能车】智能车专题知识补充

【STM32智能车】智能车专题知识补充 智能车专题智能车的定义和发展历程。智能车的特点和优势。智能车的关键技术智能车的应用场景&#xff0c;如出租车、物流配送、公共交通等。智能车在环境保护、交通安全、经济发展等方面的作用。智能车发展面临的挑战和机遇 智能车专题 本专…

【单片机】STM32F103C8T6单片机,OLED 1.3寸 IIC OLED,STM32F103单片机,I2C OLED

文章目录 main.coled.coled.hOLED_Font.h 效果&#xff1a; main.c #include "sys.h" #include "usart.h" #include "OLED.h"int main(void) {NVIC_PriorityGroupConfig(NVIC_PriorityGroup_2); /* 设置NVIC中断分组2:2位抢占优…

STM32F4_nRF24L01无线通讯

目录 前言&#xff1a; 1. nRF24L01无线模块简介 2. nRF24L01状态机 3. nRF24L01模式 4. nRF24L01的SPI配置 4.1 nRF24L01 Rx 和 Tx 的初始化配置 4.2 nRF24L01相关寄存器 5. 硬件连接 6. 实验程序 6.1 main.c 6.2 NRF24L01.c 6.3 NRF24L01.h 前言&#xff1a; S…

79、基于STM32单片机DHT11温湿度无线蓝牙手机APP监控报警系统(程序+原理图+PCB图+设计资料+参考论文+开题报告+元器件清单等)

摘 要 温湿度控制已成为当今社会研究的热门项目。是工农业生产过程中必须考虑的因素。作为最常见的被控参数。温度和湿度已经不再是相互独立的物理量&#xff0c;而应在系统中综合考虑。广泛应用于实验室、大棚、花圃、粮仓乃至土壤等各个领域。而传统的温湿度控制则利用湿度…

计算机毕业设计(校园旧物回收)之硬件端

话不多说&#xff0c;直接上大图,具体硬件 1.主控单片机:stm32f103zet6 2.dht11温湿度传感器:获取温湿度 3.舵机:3个&#xff0c;分别对应织物类、纸盒类、塑料类回收桶的门开关 4.hx711称重模块&#xff1a;称量回收物重量 5.hc-sr04超声波传感器:3个&#xff0c;分别对应…

什么是三极管推挽电路

这是一个三极管推挽电路&#xff0c;上面是一个NPN的三极管&#xff0c;下面是一个PNP的三极管。 当输入信号为VCC时&#xff0c;上面的三极管导通&#xff0c;下面的三极管截止&#xff0c;输出信号为VCC-0.7V&#xff0c;这个0.7V就是上面NPN三极管BE间的导通压降 当输入信号…

无法使用内置Bootloader的DFU方式进行固件升级

1 前言 本文将针对客户无法使用内置Bootloader的DFU方式进行固件升级的问题进行分析。 2 问题描述 客户使用的是STM32F205VET6&#xff0c;做了个最小系统测试板&#xff0c;在BOOT01&#xff0c;BOOT10的情况下连接PC&#xff0c;使用PC端软件DfuSeDemo无法检测到DFU设备&a…

最简单DIY基于STM32单片机的WIFI智能小车设计方案

STM32库函数开发系列文章目录 第一篇&#xff1a;STM32F103ZET6单片机双串口互发程序设计与实现 第二篇&#xff1a;最简单DIY基于STM32单片机的蓝牙智能小车设计方案 第三篇&#xff1a;最简单DIY基于STM32F407探索者开发板的MPU6050陀螺仪姿态控制舵机程序 第四篇&#xff1…

基于STM32CubMX和HAL库的外设开发(八、定时器)

文章目录基于STM32CubMX和HAL库的外设开发第八讲 定时器8.1 定时器原理8.2基本定时器的单脉冲触发示例一&#xff1a;用TIME4产生1s定时&#xff0c;1s定时到达时&#xff0c;GPIO-PB5接的LED3反转8.2.1定时器配置8.2.2代码实现8.3时基单元8.4通用定时器的基本定时示例二&#…

【STM32学习笔记】USART 硬件流控

流控的概念源于 RS232 这个标准&#xff0c;在 RS232 标准里面包含了串口、流控的定义。大家一定了解&#xff0c;RS232 中的“RS”是Recommend Standard 的缩写&#xff0c;即”推荐标准“之意&#xff0c;它并不像 IEEE-1284、IEEE-1394 等标准&#xff0c;是由“委员会定制”…

win10/neovim中文输入法切换

win10/neovim中文输入法切换 1 环境准备 确保有英文输入法Plug ‘Neur1n/neuims’ 2 启动 Using the command :IMSToggle.Calling the function neuims#Toggle(), or using a key mapping, e.g.: nnoremap <silent> <leader>it :call neuims#Toggle()<CR&g…

中断应用概述--学习笔记

1. 中断类型 在此不严格区分中断和异常&#xff0c;即简单的认为中断与异常一个概念。 M4 内核搭载了异常响应系统&#xff0c;支持众多的系统异常和外部中断。其中&#xff0c;F429芯片&#xff0c;系统异常10个&#xff0c;外部中断91个。除个别异常的优先级固定外&#xf…

STM32读写25Q64遇到的BUG

25Q64写函数如下&#xff1a; void Flash_Read_Array(unsigned long nAddr, unsigned char *pData, unsigned short nCount) {unsigned char *pAddr;pAddr (unsigned char *)&nAddr;// printf(".......................2\r\n");FLASHCSLow();Flash_Send_Byte(…

台积电涨了三星涨,三星涨了还有谁会涨?

▼ ▼ ▼ 【每日明说 | 阿明独家分析】业内消息称&#xff0c;三星(Samsung Electronics)已通知客户将提高芯片代工价格。 从三星计划将代工价格提高15%-20%的消息看出&#xff0c;全球芯片涨价潮一波未平一波又起。前些天台积电宣布了即将上调20%的芯片代工价格。 当然涨价…

stm32启动文件浅析(二)

stm32启动文件浅析(二) 1. 启动文件使用ARM汇编指令汇总 指令功能EQU伪指令&#xff0c;为一个常量取一个名称&#xff0c;类似于C语言中的 #defineAREA伪指令&#xff0c;定义一个新的代码段或数据段(ARM 汇编程序采用分段设计&#xff0c;一个ARM源程序至少包含一个代码段&…

JFLASH基本使用总结

注意&#xff0c;不同版本的操作略有不同&#xff0c;本教程以J-Flash V5.12f为例。 烧录文件 如果是刚打开J-Flash&#xff0c;会弹出这样的一个工程选择界面&#xff0c;可以选择已有工程&#xff0c;或者创建新的工程&#xff0c;我们这里选择创建新工程。 注意&#xff0…

lvgl 界面管理器

lv_scr_mgr lvgl 界面管理器 适配 lvgl 8.3 降低界面之间的耦合使用较小的内存&#xff0c;界面切换后会自动释放内存内存泄漏检测 使用方法 在lv_scr_mgr_port.h 中创建一个枚举&#xff0c;用于界面ID为每个界面创建一个页面管理器句柄将界面句柄添加到 lv_scr_mgr_por…

【Proteus仿真】【51单片机】水箱液位监控系统

文章目录 一、功能简介二、软件设计三、实验现象联系作者 一、功能简介 本项目使用Proteus8仿真51单片机控制器&#xff0c;使用LCD1602液晶、按键、蜂鸣器、液位传感器、PCF8591 ADC转换器、水泵等。 主要功能&#xff1a; 系统运行后&#xff0c;LCD1602显示当前水位、上下限…

STM32_驱动蜂鸣器自定义库

STM32_驱动蜂鸣器自定义库 功能说明&#xff1a; 1、可以实现设置蜂鸣器的音调 2、可以设置蜂鸣器连续鸣响次数 3、设置连续鸣响时间间隔 main.c #include "mymain.h" #include "dev_control.h"int main(void) {HAL_Init();SystemClock_Config();MX_GPIO_…

最详细STM32,cubeMX 点亮 led

这篇文章将详细介绍 如何在 stm32103 板子上点亮一个LED. 文章目录 前言一、开发环境搭建。二、LED 原理图解读三、什么是 GPIO四、cubeMX 配置工程五、解读 cubeMX 生成的代码六、延时函数七、控制引脚状态函数点亮 LED 八、GPIO 的工作模式九、为什么使用推挽输出驱动 LED总结…

0030__Keil MDK 中的 Code、RO-data、RW-dat、ZI-data 分别代表什么意思

Keil MDK 中的 Code、RO-data、RW-dat、ZI-data 分别代表什么意思_(未雨绸缪)的博客-CSDN博客

【stm32】外部中断接口函数

1、外部中断回调函数 2、外部中断通用处理函数

01 时钟配置初始化,debug

1. 开启debug series&#xff0c;否则只能下载一次&#xff0c;再次下载要配置boot 2.f0外部时钟配置 h750 配置 实测可用

STM32单片机采用环形缓冲区实现串口中断数据接收管理

一、前言 在嵌入式系统开发中&#xff0c;与上位机进行串口通信是非常常见的场景。上位机可以通过串口发送指令或者数据给嵌入式设备&#xff0c;而嵌入式设备需要可靠地接收并解析这些数据&#xff0c;以执行相应的操作。然而&#xff0c;在串口通信过程中&#xff0c;上位机…

STM32 芯片怎么选型?

SMT32概览&#xff1a; STM32F051R8T6x xx 代表的含义 STM32MPU产品型号含义 STM32芯片封装&#xff1a;

FOC系列(一)----DRV8301芯片的学习

一、 写在前面 从今年四五月份一直就想玩个无刷直流电机&#xff08;BLDC&#xff09;&#xff0c;但是碍于一直没时间。其实很早就做出来了测试板的控制板&#xff0c;可以当做开发板使用&#xff0c;考虑到成本问题&#xff0c;最后选用STM32F103CBT6芯片&#xff0c;下面是很…

STM32 定时器配置不当导致误差(精度)偏大的问题发现与解决

通用定时器TIM2/3/4/5&#xff0c;PWM输出1Khz的波形 一开始初始化代码如下&#xff1a; void MX_TIM2_Init(void)//1kHz {TIM_ClockConfigTypeDef sClockSourceConfig {0};TIM_MasterConfigTypeDef sMasterConfig {0};TIM_OC_InitTypeDef sConfigOC {0};htim2.Instance T…

μCOS-Ⅲ中断管理,这样理解非常简单!

μCOS-Ⅲ中断管理&#xff0c;这样理解非常简单&#xff01; 文章目录 μCOS-Ⅲ中断管理&#xff0c;这样理解非常简单&#xff01;前言一、中断源与中断优先级二、μCOS-Ⅲ的中断管理方式三、中断屏蔽与中断控制1、μCOS-Ⅲ中断开关2、μCOS-Ⅲ中断屏蔽应用——临界区4、μCOS…

STM32之Bootloader、USB、IAP/DFU下载

STM32 IAP应用开发——通过内置DFU实现USB升级&#xff08;方式2&#xff09; STM32 IAP应用开发——通过内置DFU实现USB升级&#xff08;方式1&#xff09; STM32程序下载4&#xff1a;通过STM32CubePro-USB下载 STM32程序下载3&#xff1a;通过STM32CubePro-UART下载 STM…

STM32MP157D BSP

一&#xff0c;全志R16、IMX6ULL和STM32MP157D启动相关 1&#xff0c;IMX6ULL是EMMC启动后&#xff0c;通过uboot fat命令的load进内存进行启动测试 2&#xff0c;openedv应该也是参考的官方的板子&#xff0c;类似调试口等均应该是一致的&#xff0c;所以目前就是用正点原子…

HAL库 CubeMX STM32采用SDIO实现对SD卡和NAND Flash的读写

目录 完整项目源代码下载地址&#xff1a;HAL库CubeMXSTM32采用SDIO实现对SD卡和NANDFlash的读写资源-CSDN文库 一、选择合适的存储芯片。 可以去雷龙官网白嫖&#xff0c;白嫖链接&#xff1a;免费样品 二、SD卡/SD NAND底层原理 三、硬件设计 1、SD NAND引脚图 2、芯…

ODrive移植keil(七)—— 插值算法和偏置校准

目录 一、角度读取1.1、硬件接线1.2、程序演示1.3、代码说明 二、锁相环和插值算法2.1、锁相环2.2、插值2.3、角度补偿 三、偏置校准3.1、硬件接线3.2、官方代码操作3.3、移植后的代码操作3.4、代码说明3.5、SimpleFOC的偏置校准对比 ODrive、VESC和SimpleFOC 教程链接汇总&…

Stm32_标准库_12_串口_发送数据

波特率&#xff1a;约定的传输速率&#xff0c;1000bps,1s发1000位 引脚 结构 数据帧的传输特点 代码&#xff1a; #include "stm32f10x.h" // Device header #include "Delay.h" #include "OLED.h"GPIO_InitTypeDef GPIO_InitStruct; USART…

【LVGL】SquareLine Studio入门基础操作

1.SquareLine Studio基础 在这篇文章中将介绍SquareLine Studio的基础操作、解释如何加载一个项目、布局结构。    启动软件后,可以加载之前的项目、创建项目、加载一个示例。    这里以打开示例audio_mixer为例,可以双击该项目打开或者选中该项目点击右下角的【创建】按…

STM32F4X OLED使用

STM32F4X OLED使用 OLED简介OLED使用OLED驱动芯片SSD1309引脚SSD1309通信协议4线SPI模式3线SPI模式I2C模式OLED地址确认 8080接口OLED 8080写模式OLED 8080读模式 6800接口 SSD1309显示原理SSD1309显存大小SSD1309显存分布SSD1039数据显示MCU操作SSD1309显存方法 OLED取模字符取…

初出茅庐的小李博客之SPI工作模式

SPI的工作模式 SPI&#xff08;Serial Peripheral Interface&#xff09;是一种同步串行通信协议&#xff0c;常用于连接微控制器和外围设备。SPI有四种模式&#xff0c;分别是0、1、2、3模式。 0模式&#xff1a;时钟空闲时为低电平&#xff0c;数据在时钟的下降沿采样&#…

解读下SWD协议以及其应用

SWD协议原理 SWD&#xff08;Serial Wire Debug&#xff09;协议是一种用于ARM Cortex微控制器的调试接口协议。它定义了主机计算机与目标设备之间通过SWD线进行通信的格式和规范。 SWD协议使用两根线进行通信&#xff1a;SWDIO&#xff08;Serial Wire Debug I/O&#xff09…

FreeRTOS基础知识

一、任务调度方式 抢占式(preemption schedule)&#xff1a;任务优先级高的任务一旦就绪立即获得CPU的使用权(抢夺低优先级任务)&#xff1b;抢占式调度&#xff0c;是最高优先级的任务一旦就绪&#xff0c;总能得到CPU的执行权&#xff1b;它抢占了低优先级的运行机会。在抢占…

Stm32_标准库_14_串口蓝牙模块_手机与蓝牙模块通信_实现模块读取并修改信息

由手机向蓝牙模块传输时间信息&#xff0c;Stm32获取信息并将已存在信息修改为传入信息 测试代码&#xff1a; #include "stm32f10x.h" // Device header #include "Delay.h" #include "OLED.h" #include "Serial.h"uint16_t num…

电脑不显示u盘?这5个方法很管用!

“好奇怪呀&#xff0c;我的u盘怎么插入电脑后一直都不显示呀&#xff1f;电脑不显示u盘有什么比较好用的解决方法吗&#xff1f;快帮我出出主意&#xff01;” 有时候我们需要将u盘与电脑连接来进行文件传输等操作。如果u盘插入电脑后却出现电脑不显示u盘的情况怎么办&#xf…

移动硬盘频繁断开连接怎么办?

对于那些喜欢存储大量数据&#xff0c;在多台设备之间有数据传输需求的用户来说&#xff0c;拥有一个移动硬盘是比较便利的事情。但是移动硬盘在使用的过程中也可能会出现诸多问题&#xff0c;比如比较常见的频繁断开连接的故障。那么&#xff0c;移动硬盘频繁断开连接怎么办&a…

【特纳斯电子】JDY-10M模块

视频及资料链接&#xff1a;JDY-10M模块 - 电子校园网 (mcude.com) 一、实物图 二、原理图 引脚定义 内部引脚图 三、简介 JDY-10 透传模块是基于蓝牙 4.0 协议标准&#xff0c;工作频段为 2.4GHZ 范围&#xff0c;调制方式为 GFSK(高斯频移键控&#xff0c;在调制之前通过一…

STM32 Cube SPI通信实战开发调试--电源项目SPI通信

文章目录 STM32 Cube SPI通信实战开发调试--电源项目SPI通信 STM32 Cube SPI通信实战开发调试–电源项目SPI通信 文章目录 准备工作 1.1. 所用硬件 1.2. SPI 简介 1.3. 生成工程 1.3.1. 创建工程选择主控 1.3.2. 系统配置 1.3.3. 配置工程目录 读写EEPROM实验&#xff08;W25…

【特纳斯电子】智能台灯-仿真设计

视频及资料链接&#xff1a;智能台灯-仿真设计 - 电子校园网 (mcude.com) 编号&#xff1a; T0312205M-FZ 设计简介&#xff1a; 本设计是基于单片机的智能台灯&#xff0c;主要实现以下功能&#xff1a; 1、护眼语音 提示坐姿 2、温度传感器 监测温度 3、语音控制灯的开关…

2023版 STM32实战10 内部Flash读写

简介和注意事项 -1-STM32内部提供了闪存区域&#xff0c;用来存储用户代码和自定义数据 -2-F1系列的写入最少两字节&#xff08;半字&#xff09; -3-每个地址存8位数据 -4-写入之前需要解锁&#xff0c;写完需要上锁 -5-读取不需要解锁 存储器分布 以下三张图可清楚的…

【特纳斯电子】基于单片机的火灾监测报警系统-仿真设计

视频及资料链接&#xff1a;基于单片机的火灾监测报警系统-仿真设计 - 电子校园网 (mcude.com) 编号&#xff1a; T0152203M-FZ 设计简介&#xff1a; 本设计是基于单片机的火灾监测报警系统&#xff0c;主要实现以下功能&#xff1a; 1.通过OLED显示温度、烟雾、是否有火…

STM32 ,写代码,Printf 重定向输出

printf 重定向简介 printf 函数默认输出设备是显示器&#xff0c; 如果要实现在串口或者 LCD 上显示&#xff0c;必须重定义标准库函数里调用的与输出设备相关的函数。 比如使用 printf 输出到串口&#xff0c;需要将 fputc 里面的输出指向串口,这一过程就叫重定向 #include…

正点原子嵌入式linux驱动开发——设备树下LED驱动

经过对设备树的学习以及驱动开发中常用的OF函数介绍&#xff0c;本篇笔记将之前的新字符设备驱动的LED&#xff0c;换成设备树形式。 设备树LED驱动原理 在之前的新字符设备驱动实验中&#xff0c;直接在驱动文件newchrled.c中定义有关寄存器物理地址&#xff0c;然后使用io_…

关于W5500网卡使用过程的部分问题记录

某个项目中用到了W5500这种自带网络协议栈的网卡芯片&#xff0c;由于该项目开发时间很紧&#xff0c;就临时网上买了一些模块拼凑到了一套系统&#xff0c;经过验证果真这种拼积木的方法只能用在学生实验开发中&#xff0c;真不能拿来做工程应用&#xff0c;硬件太不稳定很容易…

usmart + cubemx 使用方法

模拟量采集我想简单吧校准系数写死交差&#xff0c;没想到客户总是在调试模拟量采集&#xff1b;改了几个系数之后没完没了了&#xff1b; 彻底解决这个问题&#xff1a; 把校准系数存在STM32内部flash里面。 通过usmart 调用flash 接口写校准系数。 mcu&#xff1a;STM32F…

龙芯S-2K2000板卡测试记录,安装loongnix系统已知问题及DPDK

S-2K2000板卡已知问题 安装系统时PMON需要使用acpi传参pmon下USB键盘不支持热插拔pmon下插入部分USB键盘 进pmon特别慢&#xff0c;兼容性问题&#xff0c;后续统一解决不支持emmc&#xff0c;等待更新pmon S-2K2000板卡手动引导USB命令 load (usb0,0)/boot/vmlinuz. initr…

通讯协议学习之路:RS232协议理论

通讯协议之路主要分为两部分&#xff0c;第一部分从理论上面讲解各类协议的通讯原理以及通讯格式&#xff0c;第二部分从具体运用上讲解各类通讯协议的具体应用方法。 后续文章会同时发表在个人博客(jason1016.club)、CSDN&#xff1b;视频会发布在bilibili(UID:399951374) 序&…

正点原子嵌入式linux驱动开发——Linux内核定时器

定时器是最常用到的功能&#xff0c;一般用来完成定时功能&#xff0c;本章就来学习一下Linux内核提供的定时器API函数&#xff0c;通过这些定时器API函数可以完成很多要求定时的应用。 Linux内核也提供了短延时函数&#xff0c;比如微秒、纳秒、毫秒延时函数&#xff0c;本章就…

STM32串口

前言 提示&#xff1a;这里可以添加本文要记录的大概内容&#xff1a; 目前已经学习了GPIO的输入输出&#xff0c;但是没有完整的显示信息&#xff0c;最便宜的显示就是串口。 000 -111 AVR单片机 已经学会过了&#xff0c; 提示&#xff1a;以下是本篇文章正文内容&#x…

详解FAT32文件系统的簇

FAT32文件系统中&#xff0c;簇&#xff08;Cluster&#xff09;是文件分配的基本单位。它是一组连续的扇区&#xff08;通常是硬盘扇区&#xff09;的集合&#xff0c;用于存储文件的数据。以下是关于FAT32簇的一些详细介绍&#xff1a; 簇的大小&#xff1a;簇的大小是FAT32文…

STM32F4X之中断二

一、外部中断 外部中断&#xff1a;外部中断的中断是相对于外部中断控制器&#xff08;EXTI&#xff09;来说&#xff0c;如下图所示&#xff1a; EXTI掌管着23根中断线&#xff0c;具体分布图下&#xff1a; 16根连接GPIO口&#xff0c;如下图&#xff1a; 所有的0口连接到中…

STM32不使用 cubeMX实现外部中断

这篇文章将介绍如何不使用 cubeMX完成外部中断的配置和实现。 文章目录 前言一、文件加入工程二、代码解析exti.cexti.hmain.c 注意&#xff1a;总结 前言 实验开发板&#xff1a;STM32F103C8T6。所需软件&#xff1a;keil5 &#xff0c; cubeMX 。实验目的&#xff1a;如何不…

STM32-LTC6804方案成熟BMS方案

方案下载链接&#xff01;&#xff01;https://mp.weixin.qq.com/s?__bizMzU2OTc4ODA4OA&mid2247549092&idx1&snc73855c4e3d5afddd8608d8528864f95&chksmfcfb1373cb8c9a65a4bd1f545a1a587af882f209e7ccbb8944f4d2514d241ca1d7fcc4615e10&token539106225&a…

基于STM32+华为云设计的智慧农业灌溉系统(2023升级版)

一、设计需求 基于STM32+华为云设计的智慧农业灌溉系统 【1】 项目背景 随着全球人口的不断增长和城市化进程的加速,农业生产面临着越来越大的挑战。作为传统的行业,农业生产需要不断地革新创新,以适应现代社会对食品安全、环境保护和资源利用的要求。同时,气候变化等因素…

STM32-LCD中英文显示及应用

目录 字符编码 ASCII码&#xff08;8位&#xff09; 中文编码&#xff08;16位&#xff09; GB2312标准 GBK编码 GB18030标准&#xff08;32位&#xff09; Big5编码 Unicode字符集和编码 UTF-32&#xff08;32位&#xff09; UTF-16&#xff08;16位/32位&#xff0…

开发库介绍

一、开发库选择 1.1 概述 STM32开发相关的库有很多&#xff0c;它们都是为了方便开发者使用STM32微控制器而提供的软件工具。根据不同的功能和层次&#xff0c;可以将它们分为以下几类&#xff1a; CMSIS库&#xff08;Cortex Microcontroller Software Interface Standard&am…

【嵌入式项目应用】__cJSON在单片机的使用

目录 前言 一、JSON和cJson 二、cJSON是如何表示JSON数据的 三、如何封装完整的JSON数据 1. 先将串口打通&#xff0c;方便电脑查看log日志。 2. 增加cjson.c文件&#xff0c;已经在main.c中 3. 准备打包如下的JSON包 4. 代码部分&#xff0c;先将几个部分初始化指针 …

STM32中断,看着一篇就够了

1&#xff0c;环境搭建&#xff1a; 硬件平台&#xff1a;STM32H750XBH6 开发环境&#xff1a;STM32CubeMX V6.8.1KEIL V5.28.0.0 STM32H750固件版本&#xff1a;package V1.11.0 仿真下载驱动&#xff1a;ST-Link 2&#xff0c;中断的定义 中断&#xff08;Interrupt&#xff…

keil中报警告comparison of constant 100 with boolean expression is always true

keil中变量Wave_Fre为变值 需要更改为 if( (0<Wave_Fre)&&(Wave_Fre<100)) 因为在C中运算符计算从左到右&#xff0c;((0<Wave_Fre) < 100 )

正点原子嵌入式linux驱动开发——Linux中断

不管是单片机裸机实验还是Linux下的驱动实验&#xff0c;中断都是频繁使用的功能&#xff0c;在裸机中使用中断需要做一大堆的工作&#xff0c;比如配置寄存器&#xff0c;使能IRQ等等。但是Linux内核提供了完善的中断框架&#xff0c;只需要申请中断&#xff0c;然后注册中断处…

STM32:TTL串口调试

一.TTL串口概要 TTL只需要两个线就可以完成两个设备之间的双向通信&#xff0c;一个发送电平的I/O称之为TX&#xff0c;与另一个设备的接收I/O口RX相互连接。两设备之间还需要连接地线(GND)&#xff0c;这样两设备就有相同的0V参考电势。 二.TTL串口调试 实现电脑通过STM32发送…

STM32 TIM(一)定时中断

STM32 TIM&#xff08;一&#xff09;定时中断 定一个时间&#xff0c;然后让定时器每隔这个时间产生一个中断&#xff0c;来实现每隔一个固定时间执行一段程序的目的&#xff0c;比如你要做个时钟、秒表&#xff0c;或者使用一些程序算法的时候&#xff0c;都需要用到定时中断…

基于stm3210系列的简单DMA通信

本文将分文四个部分介绍&#xff0c;分别是关于DMA的简单认识&#xff0c;DMA从内存到内存的实验&#xff0c;DMA从内存到外设的实验&#xff0c;DMA从外设到内存的实验。 一、DMA的简单认识 1.什么是DMA? 直接存储器存取(DMA)用来提供在外设和存储器之间或者存储器和存储器…

【不用开发板学习STM32】可设置电子时钟

• 实验环境 工程文件下载链接&#xff01;https://mp.weixin.qq.com/s?__bizMzU2OTc4ODA4OA&mid2247551559&idx1&sn721b9238bc58936ac41e6ad1b9988554&chksmfcfb1990cb8c9086490b11c05bc76c08da15c71caa38715a047c49d36f25a149920aee482f3e&token204641…

STM32_PID通用算法增量式和位置式

STM32_PID通用算法增量式和位置式 前言&#xff1a; 此算法为入门级PID算法&#xff0c;调试好参数后可应用于温度控制、舵机控制、直流电机的转速控制和直流电机的角度控制等等&#xff0c;下面就以温度控制举例 pid.c #include "pid.h" #include "sensor.h&q…

STM32智能小车—蓝牙控制并测速小车、wife控制小车、4g控制小车、语音控制小车

目录 1. 蓝牙控制小车 2. 蓝牙控制并测速小车 3. wifi控制测速小车 4. 4g控制小车 5.语音控制小车 5.1语音模块配置&#xff1a; 5.2 语音控制小车开发和调试代码 1. 蓝牙控制小车 使用蓝牙模块&#xff0c;串口透传蓝牙模块&#xff0c;又叫做蓝牙串口模块 串口透传技…

NB-IoT模块(BC系列—BC95)详解

NB-IoT模块&#xff08;BC系列—BC95&#xff09; 0. NB-IoT概述技术原理特点和优势应用领域 1. 常用的NB-IoT模块2. BC系列—BC95技术规格功能特点 3. STM32使用BC95方法BC95的AT指令示例代码 0. NB-IoT概述 NB-IoT&#xff08;Narrowband Internet of Things&#xff09;是一…

汇编语言之CPU工作原理

1.一个典型的CPU由运算器,控制器,寄存器等器件组成,这些器件靠内部总线相连.(内部总线实现CPU内部各个器件之间的联系,外部总线实现CPU和主板上其它器件的联系) 2.以8086CPU为例,其有14个寄存器,名称分别为:AX,BX,CX,DX,SI,DI,SP,BP,IP,CS,SS,DS,ES,PSW. 3.AX,BX,CX,DX,通常用来…

基于STM32景区人流检测控制系统设计

**单片机设计介绍&#xff0c;1651【毕设课设】基于STM32景区人流检测控制系统设计 文章目录 一 概要二、功能设计设计思路 三、 软件设计原理图 五、 程序程序文档 六、 文章目录 一 概要 基于STM32的景区人流检测控制系统设计是一种利用STM32微控制器开发的系统&#xff0c;用…

合肥中科深谷嵌入式项目实战——人工智能与机械臂(四)

订阅&#xff1a;新手可以订阅我的其他专栏。免费阶段订阅量1000 python项目实战 Python编程基础教程系列&#xff08;零基础小白搬砖逆袭) 作者&#xff1a;爱吃饼干的小白鼠。Python领域优质创作者&#xff0c;2022年度博客新星top100入围&#xff0c;荣获多家平台专家称号。…

EasyFlash移植使用- 关于单片机 BootLoader和APP均使用的情况

目前&#xff0c;我的STM32单片机&#xff0c;需要在BootLoader和APP均移植使用EasyFlash&#xff0c;用于参数管理和IAP升级使用。 但是由于Flash和RAM限制&#xff0c;减少Flash占用&#xff0c;我规划如下&#xff1a; BootLoader中移植EasyFlash使用旧版本&#xff0c;因为…

STM32-RTC实时时钟

RTC实时时钟 STM32的RTC外设&#xff0c;实质上是一个掉电后还继续运行的定时器。类似于通用定时器TIM外设&#xff0c;可以计时和触发中断。 掉电指的是电源VDD断开时为了RTC外设掉电继续运行&#xff0c;必须接上锂电池给STM32的RTC、备份发卡通过Vbat引脚供电。当主电源VDD有…

stm32启动文件浅析(一)

stm32启动文件浅析(一) 1.概述 最近在学习Linux系统移植的时候&#xff0c;在bootloader移植篇章的时候&#xff0c;了解到ARM 架构的单片机也有类似的bootloader&#xff0c;只不过是ST公司帮我们写好了&#xff0c;叫做启动文件。恍然&#xff0c;于是就来看看这个启动文件…

各类软硬件简易资料830个共2.1G,感兴趣的小伙伴自行下载

截图只是部分&#xff0c;具体看下面目录&#xff0c;图片下面也有获取地址 下载地址&#xff1a;https://bbs.usoftchina.com/thread-211131-1-2.html 技术交流&#xff1a;78709199 目录内容&#xff1a; 0001、PC与单片机通信&#xff08;RS232&#xff09; 0002、C与VB语言…

AutoSAR配置与实践(基础篇)3.6 BSW的WatchDog功能

3.6 BSW的WatchDog功能 一、WatchDog功能介绍1.1 WatchDog 模块组成1.2 内外部看门狗区别和原理1.3 常见看门狗校验方式一、WatchDog功能介绍 1.1 WatchDog 模块组成 WatchDog 即看门狗功能。这个看门狗不是真正看家的狗,而是软件的一个模块,但是因为功能类似故以此起名。主…

基于 ADXL335 加速度计的手势控制机器人

该无线控制的机器人汽车使用手势:手的倾斜/方向来向前、向后、向左或向右行驶。 说到机器人,手势控制机器人是业余爱好者和学生在物理和实际项目中理解和实施微控制器知识的最常见的项目类型之一。其背后的概念很简单:手掌的方向控制机器人汽车的运动。但如果你要问它是怎么…

三相功率板,测量三相电压电流,PCB,原理图和程序。STM32F030C8

三相功率板&#xff0c;测量三相电压电流&#xff0c;PCB&#xff0c;原理图和程序。STM32F030C8 id649601968432&

51单片机地震监测语音报警提示系统MPU6050TTS报警

实践制作DIY- GC0153--- 地震监测语音报警提示系统 基于51单片机设计------- 地震监测语音报警提示系统 二、功能介绍&#xff1a; STC89C52单片机TTS语音播报模块MPU-6050角度传感器LED灯显示一个按键 1.获取MPU-6050角度数据&#xff0c;然后根据角度数据计算出0~10级的地震…

ARM开发,stm32mp157a-A7核IIC实验(采集温湿度传感器值)

1.实验目标&#xff1a;采集温湿度传感器值&#xff1b; 2.分析框图&#xff08;模拟IIC控制器&#xff09;&#xff1b; 3.代码&#xff1b; ---iic.h封装时序协议头文件--- #ifndef __IIC_H__ #define __IIC_H__ #include "stm32mp1xx_gpio.h" #include "st…

【学习FreeRTOS】第17章——FreeRTOS任务通知

1.任务通知的简介 任务通知&#xff1a;用来通知任务的&#xff0c;任务控制块中的结构体成员变量 ulNotifiedValue就是这个通知值。 使用队列、信号量、事件标志组时都需另外创建一个结构体&#xff0c;通过中间的结构体进行间接通信&#xff01; 使用任务通知时&#xff0c…

cortex-A7中断实验 --- STM32MP157

实验目的 1&#xff0c;实现KEY1/LEY2/KE3三个按键&#xff0c;中断触发打印一句话 2&#xff0c;实现KEY1/LEY2/KE3三个按键按下之后&#xff0c;灯的状态取反 一&#xff0c;异常源和异常模式 1&#xff0c;异常源&#xff1a;异常源引发处理器进入对应的异常模式 2&…

【Freertos基础入门】深入浅出freertos互斥量

文章目录 前言一、互斥量是什么&#xff1f;二、互斥量的使用场景三、互斥量的使用1.创建 2.删除互斥量3.give和take四、示例代码总结 前言 FreeRTOS是一款开源的实时操作系统&#xff0c;提供了许多基本的内核对象&#xff0c;其中包括互斥锁&#xff08;Mutex&#xff09;。…

stm32之5.长按按键(使用时钟源)调整跑马灯速度

------------------------------ 源码 #include <stm32f4xx.h> #include "led.h" #include "delay.h" #include "my_str.h" #include "beep.h" #include "key.h" int main(void) { key_init(); Led_init();…

【毕业设计】基于RFID的门禁系统 - 单片机 物联网 嵌入式 stm32

文章目录 1 简介2 绪论2.1 课题背景与目的 3 射频识别3.1 射频识别技术3.2 射频识别模块3.2.1 RFID模块3.2.2 RFID模块组成 4 系统设计4.1 系统架构4.1.1 硬件部分4.1.2 软件部分 4.2 软件总体流程图4.3 密码子程序4.4 数据采集子程序4.5 显示子程序4.6 存储子程序 5 实现效果6…

STM32速成笔记—Flash闪存

文章目录 一、Flash简介二、STM32F1的Flash三、Flash操作步骤四、程序设计4.1 读取数据4.2 写入数据&#xff08;不检查&#xff09;4.3 写入数据&#xff08;检查&#xff09; 五、注意事项 一、Flash简介 快闪存储器&#xff08;flash memory&#xff09;&#xff0c;是一种…

HC05蓝牙模块与stm32通信

我估计是到最后了&#xff0c;最后讲一下蓝牙的接发数据 做一下用蓝牙制作的小车吧&#xff0c;我先贴上蓝牙的代码吧 #include "sys.h" #include "usart3.h" #include "usart.h" #include "led.h" #include "oled.h" u8 U…

MOS管开关电路栅极为什么要串接电阻

在MOS管开关电路或者驱动电路中&#xff0c;常常会在MOS管的栅极串接一个电阻。 这个电阻阻值一般是几十欧姆&#xff0c;那么这个电阻有什么作用呢&#xff1f; 第一个作用就是可以限制驱动电流 &#xff0c;防止瞬间驱动电流过大导致驱动芯片驱动能力不足或者损坏。 MOS管的…

定时器1输出PWM代码,加讲解

深度讲解32的PWM初始化的作用 我先直接贴出来代码 void TIM1_PWM_Init(u16 arr, u16 psc) {GPIO_InitTypeDef GPIO_InitStructure;TIM_TimeBaseInitTypeDef TIM_TimeBaseStructure;TIM_OCInitTypeDef TIM_OCInitStructure;RCC_APB2PeriphClockCmd(RCC_APB2Periph_TIM1 | RC…

从零开始制作蓝牙小车(后面附代码)初始化定时器1输出PWM

直接进入主题&#xff0c;这一章讲的是PWM 因为我设计的板子&#xff0c;输出PWM用的是定时器1通道1和4&#xff0c;定时器1是高级定时器&#xff0c;比普通定时器的初始化要多一点东西 其实比较重要的是这两个参数 TIM_TimeBaseStructure.TIM_Period arr; //设置在下一个更新…

ARM--day6(实现字符、字符串收发的代码和现象,分析RCC、GPIO、UART章节)

uart4.h #ifndef __UART4_H__ #define __UART4_H__#include "stm32mp1xx_rcc.h" #include "stm32mp1xx_gpio.h" #include "stm32mp1xx_uart.h"//RCC/GPIO/UART4章节初始化 void hal_uart4_init();//发送一个字符函数 void hal_put_char(const c…

PCAP01介绍和STM32模拟SPI驱动

一.芯片介绍 Pcap01是德国acam公司设计的一款革命性的电容测量芯片。该芯片 内部有DSP计算单元&#xff0c;可以直接将电容元件接到Pcap01芯片&#xff0c;然后芯片计算出容值大小&#xff0c;通过SPI总线将电容容值数据传送给CPU&#xff0c;电容测量完全数字化。 二,测量原…

【【萌新的STM32学习-11】】

萌新的STM32学习-11 终于进入了正点原子的入门篇进行一些简单的设计 GPIO General Purpose Input Output 通用输入输出端口 简称GPIO 作用 &#xff1a;负责采集外部器件的信息或者控制外部器件工作&#xff0c;即输入输出 GPIO 的特点 1&#xff0c;不同型号&#xff0c;IO数…

嵌入式系统常用的开发板

今天&#xff0c;了解一下常用的开发板&#xff0c;像stm32是最近了解的&#xff0c;esp8266系列是之前大三下上物联网导论课程时候接触的&#xff0c;一些树莓派&#xff0c;Arduino听说过&#xff0c;但了解不多。

*看门狗原理及注意事项

1.看门狗的作用是&#xff1a;当单片机因为软硬件问题或者外界干扰导致死机时&#xff0c;可以自动复位单片机&#xff0c;使系统重新正常工作。 当电脑的系统死机了&#xff0c;我们可以人为手动的进行重启&#xff0c;使电脑重新正常工作。但当火星的单片机系统死机了&#x…

arm gic

假如我们要将 CP15 中 C0 寄存器的值读取到 R0 寄存器中&#xff0c;那么就可以使用如下命令&#xff1a; MRC p15, 0, r0, c0, c0, 0CP15 协处理器有 16 个 32 位寄存器&#xff0c; c0~c15 寄存器作用c0寄存器可以获取到处理器内核信息&#xff1b;c1寄存器可以使能或禁止 M…

STM32定时器级联功能

参考&#xff1a;官方文档《stm32f4xx参考手册.pdf》 级联功能&#xff0c;可以把两个定时器的功能关联起来&#xff0c;具体有以下几种&#xff1a; 本文只讲其中一个功能&#xff0c;定时器1给定时器2当分频器。这种功能可以把两个32位定时器&#xff0c;合并为为一个64位定…

STM32 定时器自动重装载寄存器ARR带来的影响,ARPE0和1区别

ARR是啥 自动重载寄存器是预装载的。对自动重载寄存器执行写入或读取操作时会访问预装载寄存器。预装载寄存器的内容既可以直接传送到影子寄存器&#xff0c;也可以在每次发生更新事件 (UEV) 时传送到影子寄存器&#xff0c;这取决于 TIMx_CR1 寄存器中的自动重载预装载使能位 …

【踩坑日记】STM32 USART 串口与 FreeRTOS 冲突

文章目录 问题描述问题出现的环境问题解决过程第一步第二步第三步第四步第五步第六步第七步第八步 后续验证一些思考类似的问题后记 问题描述 笔者使用 FreeRTOS 创建了两个任务&#xff0c;使两颗 LED 以不同频率闪烁&#xff0c;但是在加入串口 USART 部分代码后&#xff0c…

STM32F105RCT6 -- ST-Link ITM Trace printf 打印日志

1. STM32 可以配置UASRT&#xff0c;使用串口来打印日志&#xff0c;还有另外一种方式&#xff0c;使用ITM 调试功能来打印日志&#xff0c; 主要使用到的三个函数 core_cm3.h 1.1 发送函数 static __INLINE uint32_t ITM_SendChar(uint32_t ch)&#xff0c;相当于串口的发送函…

STM32F429IGT6使用CubeMX配置GPIO点亮LED灯

1、硬件电路 2、设置RCC&#xff0c;选择高速外部时钟HSE,时钟设置为180MHz 3、配置GPIO引脚 4、生成工程配置 5、部分代码 6、实验现象

RTT(RT-Thread)线程间同步(保姆级)

目录 线程间同步 信号量 信号量结构体 信号量的使用和管理 动态创建信号量 实例 静态创建信号量 初始化和脱离信号量 获取信号量 信号量的互斥操作 获取信号量函数 释放信号量 信号量同步实例 互斥量&#xff08;互斥锁&#xff09; 互斥量的使用和管理 动态创…

21、stm32使用LTDC驱动LCD

注&#xff1a;本文基于stm32使用FMC驱动SDRAM(IS42S32800G-6BLI)工程继续开发 本例使用安富莱的H743XIH板子驱动LTDC点亮7寸LCD 硬件接线&#xff1a;RGB888 一、cubemx配置 1、LTDC配置 注意此引脚应于上面的硬件接线图一致 2、配置DMA2D 3、背光引脚和触摸引脚 4、时钟…

STM32入门——DMA数据搬运工

DMA简介 DMA&#xff08;Direct Memory Access&#xff09;直接存储器存取DMA可以提供外设和存储器或者存储器和存储器之间的高速数据传输&#xff0c;无须CPU干预&#xff0c;节省了CPU的资源12个独立可配置的通道&#xff1a; DMA1&#xff08;7个通道&#xff09;&#xff…

单片机使用基于时间片轮询系统的-状态机-[1]

目的&#xff1a;【1】用C实现一个超轻量化任务管理系统 【2】具有任务suspend, resume, runonce ,auto loop ,task_delay功能 【3】易于移植&#xff0c;不涉及硬件底层。 示例例码&#xff1a; 利用switch case结构实现了单一层的 task_delay功能。弊端就是switch..case不…

CH348 USB转8串口芯片资料下载(合集)

1、产品手册 CH348DS1.PDF - 南京沁恒微电子股份有限公司CH348技术手册&#xff0c;USB转8串口芯片&#xff0c;支持最高6M波特率与硬件流控&#xff0c;支持USB配置功能&#xff0c;提供RS485方向控制与GPIO等信号引脚&#xff0c;可实现PC等平台扩展多串口或多个串口设备升级…

AutoSAR配置与实践(基础篇)3.5 BSW 的模式管理

传送门 -> AUTOSAR配置与实践总目录 AutoSAR配置与实践(基础篇)3.5 BSW 的模式管理 一、模式管理的组成二、模式项内容简介一、模式管理的组成 AUTOSAR为ECU的运行时软件的状态处理提供了模式管理组件,如下 • BswM模式管理器 • NM网络管理 • EcuM状态管理器 • ComM通…

基于STM32+微信小程序设计的智能门锁(4种开锁方式)_2023

一、项目介绍 1.1 项目背景 随着智能家居的普及,智能门锁作为一个非常重要的组成部分,受到了人们越来越多的关注。传统的机械锁门禁已经不能满足人们对于门锁安全、便捷性和智能化的需求,因此市场对于智能门锁的需求不断增加。而随着技术的发展,基于单片机的智能门锁已经…

基于STM32F412RET6的智能桶硬件设计

一、智能桶功能需求&#xff1a; 智能桶是一直采用Cortex-M4 嵌入式平台&#xff0c;搭载NB-IotTO通讯模组、智能称重采集、智能门锁监控以及温度监测等装置。主要功能如下&#xff1a; ▲ 具有GPS定位功能&#xff0c;可以通过后台APP实时定位智能桶的位置。 ▲ 具有温度监测功…

2023最新版本 FreeRTOS教程 -5-切断驱动和应用的直接关联与多输入的编码思路

在多输入的场景方便添加新的设备如图 总体的思路框图 函数讲解&#xff08;图片太抽象通过这个函数理解&#xff09; 写入自己的队列 统一数据(建议读取自己的队列 再统一到应用的队列 这里图方便省略了) 通过统一的数据做出执行操作 验证 队列为空直接阻塞 通过三个按…

STM32_project:led_beep

代码&#xff1a; 主要部分&#xff1a; #include "stm32f10x.h" // Device header #include "delay.h"// 给蜂鸣器IO口输出低电平&#xff0c;响&#xff0c;高&#xff0c;不向。 //int main (void) //{ // // 开启时钟 // RC…

STM32 中断系统

单片机学习 目录 文章目录 前言 一、中断系统 1.1 什么是中断 1.2 中断优先级 1.3 中断嵌套 1.4 C语言中的中断程序 二、STM32的中断通道和中断向量 2.1 中断通道 2.2 嵌套向量中断控制器NVIC 2.2.1 什么是NVIC 2.2.2 NVIC基本结构 2.2.3抢占优先级和响应优先级 2.2.4 NVIC的优…

STM32 MAP文件

文章目录 1 生成Map2 map中概念3 文件分析流程3.1 Section Cross References3.2 Removing Unused input sections from the image&#xff08;移除未使用的段&#xff09;3.3 Memory Map of the image&#xff08;映像的内存分布&#xff09;3.3.1 加载域3.3.2 运行域 4 代码运…

基于STM32的电子时钟(论文+源码)

1. 系统设计 电子时钟是一种广泛使用的工具&#xff0c;其可以帮助人们准确掌握时间&#xff0c;本课题基于STM32的电子时钟系统的设计&#xff0c;在功能上设计如下&#xff1a; 具有电子时钟的基本功能&#xff0c;显示年月日&#xff0c;时分秒等基本信息&#xff1b;可以…

基于单片机的光伏发电并网系统设计(论文+源码)

1.系统设计 片作为主控制器。由于太阳能板本身的能量输出受到负载影响&#xff0c;因此需要在太阳能板后面加入一级DC/DC电路&#xff0c;来实现最大功率跟踪&#xff0c;以提高整个系统的效率。接着&#xff0c;由于光伏逆变器需要产生220V的交流电给居民使用&#xff0c;因此…

关于嵌入式系统一些名词的小结(ARM/CORTEX/STM32等)

CPU ARM 是ARM公司开发&#xff0c;包括Coretx-M, Cortex-R 和 Cortex-A Cortex-M: 定位是中低端市场&#xff0c;也就是我们的单片机&#xff0c;也叫微控制器&#xff0c;我们最常用的STM32F103就是Cortex-M3的内核。 Coretx-R: 定位高端实时系统&#xff0c;比如说医疗、工业…

FreeRTOS学习之路,以STM32F103C8T6为实验MCU(2-5:队列)

学习之路主要为FreeRTOS操作系统在STM32F103&#xff08;STM32F103C8T6&#xff09;上的运用&#xff0c;采用的是标准库编程的方式&#xff0c;使用的IDE为KEIL5。 注意&#xff01;&#xff01;&#xff01;本学习之路可以通过购买STM32最小系统板以及部分配件的方式进行学习…

基于stm32F4的智能宠物喂食器的设计:LVGL界面、定时喂食喂水通风

宠物喂食器 一、功能设计二、元器件选型三、UI设计四、原理图设计五、源代码设计六、成品展示 实物链接&#xff1a;https://m.tb.cn/h.5iCUX6H?tkPL65WXCEipQ CZ3457 一、功能设计 1、设计一个触摸屏作为人机交互 2、通过触摸屏设置时间定时喂食喂水通风 3、获取当前水槽的…

电机应用-电机与驱动器简介

电机 电机是一种可以在电能和机械能间相互转换的设备。&#xff08;发电机是将机械能转换为电能&#xff0c;电动机是将电能转换为机械能&#xff09;。 主要有直流电机、步进电机、伺服电机、舵机。 直流电机 直流有刷电机 直流有刷减速电机 直流无刷电机 直流无刷减速电机 直…

【软件STM32cubeIDE下H73xx配置串口uart1+中断接收/DMA收发+HAL库+简单数据解析-基础样例】

#【软件STM32cubeIDE下H73xx配置串口uart1中断接收/DMA收发HAL库简单数据解析-基础样例】 1、前言2、实验器件3-1、普通收发中断接收实验第一步&#xff1a;代码调试-基本配置&#xff08;1&#xff09;基本配置&#xff08;3&#xff09;时钟配置&#xff08;4&#xff09;保存…

FPGA的元素组件

注意&#xff1a;关于FPGA的元素这一块儿内容&#xff0c;稍有出入。例如&#xff1a;吉姆莱丁 著&#xff0c;陈会翔 译&#xff0c;由清华大学出版社出版的《构建高性能嵌入式系统》中提到&#xff1a;FPGA通常由查找表、触发器、块RAM、DSP切片、及其他功能元件等元素组成。…

常见通信协议

博客内容&#xff1a;UART,IIC,SPI 文章目录 一、UART二、IIC三、SPI总结 一、UART 一种串行通信接口协议&#xff0c;用于在计算机系统和外部设备之间进行数据传输。UART可以支持异步和同步两种通信方式。在异步通信方式下&#xff0c;数据传输不需要在发送方和接收方之间进行…

正点原子嵌入式linux驱动开发——Linux 4G通信

前面学习了如何在Linux中使用有线网络或者WIFI&#xff0c;但是使用有线网络或者WIFI有很多限制&#xff0c;因为要布线&#xff0c;即使是WIFI也得先布线&#xff0c;然后再接个无线路由器。有很多场合是不方便布线的&#xff0c;这个时候就是4G大显身手的时候&#xff0c;产品…

基于CMT2119A 无线发射芯片的物联网技术研究

随着物联网技术的快速发展&#xff0c;无线通信设备的需求也越来越大。CMT2119A无线发射芯片作为一种先进的芯片技术&#xff0c;被广泛应用于物联网中。本文通过对CMT2119A无线发射芯片的研究&#xff0c;探讨了其在物联网技术中的应用&#xff0c;分析了其特点和优势&#xf…

STM32笔记—DMA

目录 一、DMA简介 二、DMA主要特性 三、DMA框图 3.1 DMA处理 3.2 仲裁器 3.3 DMA通道 扩展: 断言&#xff1a; 枚举&#xff1a; 3.4 可编程的数据传输宽度、对齐方式和数据大小端 3.5 DMA请求映像 四、DMA基本结构 4.1 DMA_Init配置 4.2 实现DMAADC扫描模式 实现要求…

基于STM32+华为云IOT设计的火灾感知系统

一、设计需求 【1】 项目背景 随着城市化进程的加快和人们生活水平的提高,火灾事故频繁发生,给人们的生命财产安全带来巨大威胁。因此,开发一种可靠的火灾感知系统对于预防和减少火灾事故具有重要意义。近年来,随着物联网技术的发展,基于物联网的火灾感知系统逐渐成为研…

编译器使用优化后出现的busfault

遇到的问题&#xff1a; 未开优化是正常执行&#xff0c;打开优化&#xff0c;无法运行&#xff0c;定位到异常语句 //ADC_REG 是ADC结果寄存器地址 uint32 adc *(uint32 *)ADC_REG; uint32 temp adc&0xffff;未优化汇编代码 //uint32 adc *(uint32*)ADC_REG; MOVW R…

BSP-STM32移植FreeRTOS

在stm32裸机工程中的Middlewares目录添加freeRtos源码 在裸机工程中的main中调用freertos接口

【STM32】HAL库UART含校验位的串口通信配置BUG避坑

【STM32】HAL库UART含校验位的串口通信配置BUG避坑 文章目录 UART协议校验位HAL库配置含校验位的串口配置BUG避坑附录&#xff1a;Cortex-M架构的SysTick系统定时器精准延时和MCU位带操作SysTick系统定时器精准延时延时函数阻塞延时非阻塞延时 位带操作位带代码位带宏定义总线函…

CAN总线协议的理解以及移植stm32代码并使用

什么是CAN总线协议 是一种异步半双工的通讯协议&#xff0c;只有CAN_High与CAN_Low两条信号线。 有两种连接形式&#xff1a;闭环总线&#xff08;高速&#xff09;和开环总线&#xff08;远距离&#xff09; 他使用的是一种差分信号来传输电信号 所谓差分信号就是两条信号线…

STM32 CAN通信自定义数据包多帧连发乱序问题

场景&#xff1a; can标准帧中每一帧只能传输8字节&#xff0c;而应用中传输一包的内容往往超过8字节&#xff0c;因此需要把一个包拆成多个帧发送&#xff0c;接收端才把收到的多帧重新组装成一个完整的包 问题描述 在一问一答的两块板间通信&#xff0c;多帧连发是能够按照…

STM32——STM32F4系统架构

文章目录 前言STM32F4XX系统架构 前言 本篇文章为STM32F4系列的系统架构&#xff0c;因为最近在学习F4的板子&#xff0c;暂时先更F4的&#xff0c;有需要F1的后续再更新。 主系统由 32 位多层 AHB 总线矩阵构成&#xff0c;可实现以下部分的互连&#xff1a; STM32F4XX系统架…

HALSTM32通用定时器+EXTI实现单击/双击/长按功能

HALSTM32通用定时器EXTI实现单击/双击/长按功能 ✨在使用USB功率计的时候&#xff0c;发现上面的一个按键实现多画面功能切换&#xff0c;于是探索了一下是如何实现的&#xff0c;将其实现的基本思路以及综合网上收集的相关实现方法&#xff0c;粗陋的整理了一下&#xff0c;将…

STM32F429IGT6使用CubeMX配置按键检测

1、硬件电路 2、设置RCC&#xff0c;选择高速外部时钟HSE,时钟设置为180MHz 3、配置GPIO引脚 4、生成工程配置 5、部分代码 /* USER CODE BEGIN 0 */ //按键检测函数 void KEY_Test(void) {if(SET HAL_GPIO_ReadPin(KEY1_GPIO_Port,KEY1_Pin)){while(SET HAL_GPIO_ReadPin(…

stm32之11.USART串口通信

可以添加上拉电阻&#xff0c;但会增加功耗&#xff0c;传输距离变长 要添加库函数USART 官方参考文档说明书位置 ALT&#xff0b;左键可实现整体删除&#xff08;如下图&#xff09; 输出模式第三种模式AF ---------------------- 源码 远程控制pc端 #include <stm32f4x…

【STM32】FreeRTOS消息队列和信号量学习

一、消息队列&#xff08;queue&#xff09; 队列是一种用于实现任务与任务之间&#xff0c;任务与中断之间消息交流的机制。 注意&#xff1a;1.数据的操作是FIFO模式。 2.队列需要明确数据的大小和队列的长度。 3.写和读都会出现堵塞。 实验&#xff1a;创建一个消息队列…

stm32_断点调试无法进入串口接收中断

先说结果&#xff0c;可能是stm32调试功能/keil软件/调试器&#xff08;试过STLINK和JLINK两种&#xff09;的问题&#xff0c;不是代码&#xff1b; 1、入坑 配置完串口后&#xff0c;可以发送数据到串口助手&#xff0c;但不能接收数据并做处理&#xff0c;所以第一步&…

【单片机毕业设计3-基于stm32c8t6的智能家居系统】

【单片机毕业设计3-基于stm32c8t6的智能家居系统】 前言一、功能介绍二、硬件部分三、软件部分总结 前言 &#x1f525;这里是小殷学长&#xff0c;单片机毕业设计篇3 基于stm32的智能家居控制系统 &#x1f9ff;创作不易&#xff0c;拒绝白嫖&#xff08;有需可点击最后链接&a…

STM32F429IGT6使用CubeMX配置SPI通信(W25Q256芯片)

1、硬件电路 需要系统性的看一下W25Q256芯片手册 2、设置RCC&#xff0c;选择高速外部时钟HSE,时钟设置为180MHz 3、配置SPI 4、生成工程配置 5、相关代码 #define sFLASH_ID 0XEF4019 // W25Q256#define SPI_FLASH_PageSize 256 #define SPI_FLASH_PerWritePageSize 256#def…

STM32F4X-GPIO输入功能使用

STM32F4 GPIO输入模式配置 上一节讲GPIO的时候说到了将GPIO设置成输出模式&#xff0c;并通过将GPIO的电平拉高拉低控制LED灯的例程。GPIO除了用作输出功能之外&#xff0c;还可以用作输入功能。最常用的就是检测按键的输入电平。 硬件设计 本章的硬件是基于正点原子的探索者…

【STM32RT-Thread零基础入门】 4. 线程介绍(理论)

文章目录 前言一、线程的概念二、线程的调度三、上下文切换四、线程的重要属性1. 线程栈2. 线程的状态3. 线程优先级4. 线程时间片5. 线程的入口函数 五、RT-Thread命令查看系统线程信息总结 前言 前文中的最后一个任务发现&#xff0c;一个main()函数很难同时实现按键功能和闪…

STM32 FLASH 读写数据

1. 《STM32 中文参考手册》&#xff0c;需要查看芯片数据手册&#xff0c;代码起始地址一般都是0x8000 0000&#xff0c;这是存放整个项目代码的起始地址 2. 编译信息查看代码大小&#xff0c;修改代码后第一次编译后会有这个提示信息 2.1 修改代码后编译&#xff0c;会有提示…

STM32 无法烧录

1. 一直显示芯片没连接上&#xff0c;检查连线也没问题&#xff0c;换了个ST-Link 烧录器还是连不上&#xff0c;然后又拿这个烧录器去其它板子上试下&#xff0c;就可以连接上&#xff0c;说明我连线没问题&#xff0c;烧录器也没问题&#xff0c;驱动什么的更是没问题&#x…

用keil的时候没有安装对应MCU的库,以STM32F103F为例

安装keil的时候没有用到这个芯片就没有安装对应的库。重新安装之后遇到的几个坑&#xff1a; 打开keil显示没有这个型号&#xff0c;解决方法是安装对应的库。STM32F103F要安装Keil.STM32F1xx_DFP.2.4.1.pack。 安装完库之后&#xff0c;点击Option for target&#xff0c;查看…

RTT(RT-Thread)ADC设备(RTT保姆级介绍)

目录 ADC设备 前言 ADC相关参数说明 访问ADC设备 配置ADC设备 ADC实例 硬件设计 软件设计 ADC设备 前言 ADC(Analog-to-Digital Converter) 指模数转换器。是指将连续变化的模拟信号转换为离散的数字信号的器件。 对于ADC的详细介绍和在STM32中的裸机应用可参考以下…

LL库实现SPI MDA发送方式驱动WS2812

1&#xff0c;首先打卡STM32CubeMX&#xff0c;配置一下工程&#xff0c;这里使用的芯片是STM32F030F4P6。 时钟 SPI外设 SPI DMA 下载接口&#xff0c;这个不配置待会下程序后第二次就不好下载调试了。 工程配置&#xff0c;没啥说的 选择生成所有文件 将驱动都改为LL库 然后直…

84-基于stm32单片机蔬菜大棚温湿度光照强度监测控制系统Proteus仿真+源码

资料编号&#xff1a;084 一&#xff1a;功能介绍&#xff1a; 1、采用stm32单片机OLED显示屏光照强度检测DHT11温湿度电机按键LED灯&#xff0c;制作一个温湿度采集、光照强度检测&#xff0c;OLED显示相关数据&#xff0c; 2、通过按键设置温度上限、湿度下限、光照强度下限值…

【STM32+ESP8266上云连载①】给ESP8266烧录AT固件

文章目录 一、给NodeMCU烧录固件1.1硬件准备1.2软件准备1.3AT固件下载1.4配置设置1.5开始烧录 二、给ESP8266-01S烧录固件2.1硬件准备2.2AT固件下载2.3连线2.4烧录配置 三、给ESP-12E/F/S单片烧录固件四、指令测试4.1HTTP测试4.2MQTT测试 我在使用ESP8266的时候遇到了一些问题&…

stm32f407 ADC学习记录

主要特性&#xff1a; ● 可配置 12 位、10 位、8 位或 6 位分辨率 ● 在转换结束、注入转换结束以及发生模拟看门狗或溢出事件时产生中断 ● 单次和连续转换模式 ● 用于自动将通道 0 转换为通道“ n ”的扫描模式 ● 数据对齐以保持内置数据一致性&#xff08;16位的数据…

Boost电路实战详解!(高效率同步整流,PID闭环追踪)

文章目录 寄语什么是BOOST电路BOOST同步升压电路设计要求设计方案驱动电路电压采样电路 总体电路代码实物图总结 寄语 提示&#xff1a;若想实战演练&#xff0c;请先熟悉文章操作流程哦&#xff0c;不然会有危险&#xff01;&#xff01; 我建了一个群&#xff0c;分享我个人…

【学习FreeRTOS】第11章——FreeRTOS中任务相关的其他API函数

1.函数总览 序号函数描述1uxTaskPriorityGet()获取任务优先级2vTaskPrioritySet()设置任务优先级3uxTaskGetNumberOfTasks()获取系统中任务的数量4uxTaskGetSystemState()获取所有任务的状态信息5vTaskGetInfo()获取单个任务的状态信息6xTaskGetCurrentTaskHandle()获取当前任…

【模块系列】AHT10温湿度模块

前言 以下是AHT10模块官网介绍原话。还有就是官网已经显示停产了&#xff0c;不过淘宝还是有小模块玩玩的。 AHT10是一款高精度&#xff0c;完全校准&#xff0c;贴片封装的温湿度传感器&#xff0c;MEMS的制作工艺&#xff0c;确保产品具有极高的可靠性与卓越的长期稳定性。传…

STM32F103 USB OTA升级APP (二)

接上一篇STM32F103 USB OTA升级BootLoader (一)&#xff1a;跳转链接 修改程序启动地址和Flash大小 修改main.c代码 #include "main.h" #include "usart.h" #include "usb_device.h" #include "gpio.h" #include "Update.h&quo…

【FreeRTOS】【应用篇】任务管理相关函数

文章目录 前言一、函数解析1. 任务挂起 vTaskSuspend()① 使用场景② 设计思路③ 代码 2. 任务恢复 vTaskResume()① 作用② 设计思路③ 代码 3. 挂起任务调度器 vTaskSuspendAll()① 作用② 代码 4. 恢复任务调度器 xTaskResumeAll()① 设计思路② 代码 5. 任务删除函数 vTask…

STM32 Cubemx 同名外设中断及回调

文章目录 前言示例工程个人理解 前言 最近在学习STM32&#xff0c;采用HAL库开发方式。记录一下同名外设中断及回调。 这里提及的同名外设指USART1/2之类的相同外设&#xff0c;但不是同一个instance。 示例工程 以使用cubemx配置两个同名外设EXTI0/EXT4为例。 在NVIC配置…

linux操作系统中shell和bash

目录 shell命令以及运行原理 为什么不能直接使用kernel&#xff1f; 总的来说&#xff1a; shell命令以及运行原理 Linux严格意义上说的是一个操作系统&#xff0c;称之为“核心&#xff08;kernel&#xff09;“ &#xff0c;但我们一般用户&#xff0c;不能直接使用kernel。…

stm32单片机实现电机的PID控制

PID控制应该算是非常古老而且应用非常广泛的控制算法了&#xff0c;小到热水壶温度控制&#xff0c;大到控制无人机的飞行姿态和飞行速度等等。在电机控制中&#xff0c;PID算法用得尤为常见。 一、位置式PID 1.计算公式 在电机控制中&#xff0c;我们给电机输出的是一个PWM占…

一文讲通物联网嵌入式

最近有很多同学问我&#xff0c;物联网近几年一直是科技的热点&#xff0c;嵌入式和物联网有什么关系呢&#xff1f;我在这里统一给大家讲解一下。 嵌入式是应用于物联网产品方向的一种嵌入式操作系统。类似于Android系统是谷歌开发的移动操作系统&#xff0c;嵌入式实际上也是…

基于stm32的ADS1292R 心电波形采集

一、前言 ADS1292R是TI公司早在几年前出产的一款医用级ADC芯片&#xff0c;它主要应用在医疗仪器(心电图ECG),可以监护患者以及病人护理和健身监视器。ADS1292R集成了心电采集所需要的部件&#xff0c;方便设备小型化。它的功耗极低&#xff0c;使得可以作为长时间监控成为可能…

Linux中查看和添加环境变量

文章目录概述查看添加PATH环境变量(临时)永久添加环境变量(影响当前用户)永久添加环境变量(影响所有用户)概述 $PATH&#xff1a;决定了shell将到哪些目录中寻找命令或程序&#xff0c;PATH的值是一系列目录&#xff0c;当您运行一个程序时&#xff0c;Linux在这些目录下进行搜…

【【萌新的STM32的学习--非正点原子视频的中断设计思路】】

萌新的STM32学习–非正点原子视频的中断设计思路 我们分析而言 我们对于PA0 的设计就从此而来 对于边沿触发的选择我们已经有所了解了 我们下拉&#xff0c;但是当我们摁下开关的时候 从0到1 导通了 所以这个是下拉 上升沿触发 而对于KEY0 我们摁下是使得电路从原来悬空高阻态…

【FreeRTOS】【应用篇】消息队列【下篇】

前言 本篇文章主要对 FreeRTOS 中消息队列的概念和相关函数进行了详解消息队列【下篇】详细剖析了消息队列中发送、接收时队列消息控制块中各种指针的行为&#xff0c;以及几个发送消息和接收消息的函数的运作流程笔者有关于 【FreeRTOS】【应用篇】消息队列【上篇】——队列基…

模拟4~20ma电流输出的设计

文章目录 1. 原理2. 使用GP8102S或GP8212S进行设计2.1 共地型设计2.2 共源型设计2.3 其它电流需求 3. 隔离光耦电源连接方案4. 利用GP8102S实现0-40V 的可编程电压输出 1. 原理 4 ~ 20ma电流输出的目的不用多说&#xff0c;今天就简单聊一下4 ~ 20ma电流输出是怎么设计出来的&…

DC-DC 升压电路、 升压模块原理

一、什么是 DC-DC 转换器&#xff1f; DC-DC 转换器是一种电力电子电路&#xff0c;可有效地将直流电从一个电压转换为另一个电压。 DC-DC 转换器在现代电子产品中扮演着不可或缺的角色。这是因为与线性稳压器相比&#xff0c;它们具有多项优势。尤其是线性稳压器会散发大量热量…

初出茅庐的小李博客之STM32F103C8T6音乐控制器实战教程【1】

STM32F103C8T6音乐控制器实战教程[1] USB简单介绍&#xff1a; "USB"代表通用串行总线&#xff08;Universal Serial Bus&#xff09;&#xff0c;是一种用于连接计算机及其外部设备的标准接口。USB接口允许各种设备&#xff08;如打印机、存储设备、键盘、鼠标、摄…

STM32f103入门(8)TIM输入捕获输入捕获测频率PWMI测占空比

TIM输入捕获 频率测量输入捕获基本结构PWMI基本结构主从触发模式输入捕获测量频率PWMI测占空比 频率测量 输入捕获基本结构 CNT计数一个周期&#xff0c;转运到CCR1里面去&#xff0c;CNT0 这时候CCR1N FxFc/N Fc cnt的驱动时钟 这时候就可以得到频率 Fc72M/PSC PWMI基本结构 …

常见脉冲电路

在电子电路中&#xff0c;电源、放大、振荡和调制电路被称为模拟电子电路&#xff0c;因为它们加工和处理的是连续变化的模拟信号。电子电路中另一大类电路的数字电子电路。它加工和处理的对象是不连续变化的数字信号。数字电子电路又可分成脉冲电路和数字逻辑电路&#xff0c;…

FOC程序cubemx配置-ADC配置

具体配置步骤大家参考&#xff1a;这篇文章 我配置后用keil5自带的仿真工具查看引脚波形&#xff0c;在这里写一下遇到的问题。 1、波形仿真的时候出现 Unknown Signal&#xff1a;参考 这篇文章 2、生成的波形并不完全互补。 PS&#xff1a;出现以上这种情况时&#xff0…

【STM32】学习笔记-时间戳RTC

Unix时间戳 Unix 时间戳&#xff08;Unix Timestamp&#xff09;定义为从UTC/GMT的1970年1月1日0时0分0秒开始所经过的秒数&#xff0c;不考虑闰秒 时间戳存储在一个秒计数器中&#xff0c;秒计数器为32位/64位的整型变量 世界上所有时区的秒计数器相同&#xff0c;不同时区通…

JLink和ST-Link接口引脚介绍

STM32F1系列&#xff0c;STM8S系列&#xff0c;PY32F003系列都用过好久了&#xff0c;但是对JLink和ST-Link下载器认识&#xff0c;还是很肤浅的。有时候&#xff0c;需要自己接线&#xff0c;却不知道引脚定义&#xff0c;特整理如下&#xff1a; 1、ST-Link ST-Link适合对象…

使用微信小程序控制蓝牙小车(微信小程序端)

目录 使用接口界面效果界面设计界面逻辑设计 使用接口 微信小程序官方开发文档 接口说明wx.openBluetoothAdapter初始化蓝牙模块wx.closeBluetoothAdapter关闭蓝牙模块(调用该方法将断开所有已建立的连接并释放系统资源)wx.startBluetoothDevicesDiscovery开始搜寻附近的蓝牙…

ArduPilot开源代码之Aocoda-RC F405V2 Mark4 7“ PNP适配

ArduPilot开源代码之Aocoda-RC F405V2 Mark4 10"适配 1. 源由2. 安装2.1 Installing Ground Station (GCS) software2.2 Autopilot System Assembly Instructions2.3 Loading Firmware2.4 Connect Mission Planner to AutoPilot 3. 配置3.1 Basic System Overview3.2 Fram…

【Proteus仿真】【STM32单片机】多路温度控制系统

文章目录 一、功能简介二、软件设计三、实验现象联系作者 一、功能简介 本项目使用Proteus8仿真STM32单片机控制器&#xff0c;使用按键、LED、蜂鸣器、LCD1602、DS18B20温度传感器、HC05蓝牙模块等。 主要功能&#xff1a; 系统运行后&#xff0c;默认LCD1602显示前4路采集的…

Stm32_标准库_18_串口蓝牙模块_手机与蓝牙模块通信_控制LED灯亮灭

通过输入LED_ON和LED_OFF分别控制LED灯的亮与灭 接线&#xff1a; LED的正极接正电&#xff0c;负极接GPIOA_Pin1 蓝牙模块TXD接GPIOA_Pin3,VCC接正电&#xff0c;GND接负电 注意&#xff1a;USART2是APB1外设&#xff0c;汉字占用字节数是字符的两倍 使用&#xff1a; 手…

C51--PC通过串口(中断)点亮LED

B4中的&#xff1a;REN允许 / 禁止串行接收控制位 REN 1为允许串行接收状态。 接收数据必须开启。所以SCON&#xff1a;0101 0000 &#xff1b;即0x50 如何知道数据已经接收 RI位&#xff1a;当收到数据后 RI 1&#xff08;由硬件置一&#xff09; 硬件置一后必须用软件…

基于STM32+微信小程序设计的智能宠物喂养系统_2023升级版

基于STM32设计的智能宠物喂养系统(腾讯云IOT+微信小程序) 一、设计需求 【1】 项目背景 随着生活水平的提高,人们的生活节奏越来越快,无法照顾宠物的人群越来越多。而宠物的健康和幸福是人们非常关心的问题。为了解决这个问题,人们开始使用智能投喂器来帮助宠物。然而,传…

RT-Thread STM32F407 五步完成OLED移植

这里使用RT-Thread Studio提供的IIC API驱动函数进行移植 第一步&#xff0c;进入RT-Thread Settings配置IIC驱动 第二步&#xff0c;进入board.h&#xff0c;定义IIC宏 第三步&#xff0c;进入STM32CubeMX&#xff0c;配置时钟及IIC 第四步&#xff0c;添加oled.c及oled…

【STM32】串口和printf

1.数据通信的基本知识 1.串行/并行通信 2.单工/半双工/全双工通信 类似于【广播 对讲 电话】 不是有两根线就是全双工&#xff0c;而是输入和输出都有对应的数据线。 3.同步/异步通信 区分同步/异步通信的根本&#xff1a;判断是否有时钟信号&#xff08;时钟线&#xff09;。…

STM32F103C8T6硬件spi读取ADS1118

硬件SPI读取ADS1118 文章目录 硬件SPI读取ADS1118前言一、ADS1118技术手册二、SPI的配置1.spi.c和.h的配置2.ads1118.c3.ads1118.h 总结 前言 现在要用到SPI去读取数据&#xff0c;读取的芯片是ADX的一款&#xff0c;但是和ADS1118是一模一样的 分享一下读取的过程 一、ADS11…

STM32 LL库 TIM3定时器多通道捕获输入采集

为什么不用HAL库&#xff0c;使用HAL库捕获输入一个通道还尚可&#xff0c;多通道捕获由于HAL的回调函数不符合我的要求&#xff0c;干脆直接切换到LL库。网上找了许多&#xff0c;代码处理写的不符合我的要求&#xff0c;这里记录一下我的调试过程。 TIM2输出1路PWM信号&#…

C51--WiFi模块ESP8266--AT指令

ESP8266 面向物联网应用的&#xff0c;高性价比、高度集成的WiFi MCU 简介&#xff1a; 高度集成&#xff1a; ESP8266EX集成了32位Tensilica 处理器、标准数字外设接口、天线开关、射频balun、功率放大器、底噪放大器、过滤器和电源管理模块&#xff0c;可将所占的PCB空间降…

【嵌入式开发学习】__扒一扒单片机串口IAP原理

一、什么是IAP&#xff1f; IAP 是 In Application Programming 的首字母缩写&#xff0c;IAP是用户自己的程序在运行过程中对 User Flash 的部分区域进行烧写&#xff0c;目的是为了在产品发布后可以方便地通过预留的通信口对产品中的固件程序进行更新升级。 在重新编程过程…

STM32CUBEMX_创建时间片轮询架构的软件框架

STM32CUBEMX_创建时间片轮询架构的软件框架 说明&#xff1a; 1、这种架构避免在更新STM32CUBEMX配置后把用户代码清除掉 2、利用这种时间片的架构可以使得代码架构清晰易于维护 创建步骤&#xff1a; 1、使用STM32CUBEMX创建基础工程 2、新建用户代码目录 3、构建基础的代码框…

【STM32】FSMC接口的复用和非复用

问题背景 在阅读《零死角玩转STM32—F103指南者》&#xff0c;以及《STM32F10x-中文参考手册》关于FSMC一章节的时候&#xff0c;对于在控制NOR/SRAM的时候使用到的引脚,在提到NOR器件的时候提到了地址复用和非复用接口&#xff0c;一时间没明白是什么东西。 结论 非复用模式…

热释电矢量传感器设计

1 概述 使用4个热释电传感器组成一个2X2的矩阵。通过曲线的相位差、 峰峰值等特征量来计算相关信息。本文使用STM32单片机设计、制作了热释电传感器矩阵&#xff1b;使用C#.NET设计了上位机软件。为以上研究做了试验平台。 2 硬件电路设计 2.1 热释电传感器介绍 热释电红外…

搭建STM32F407的SPI-Flash(基于STM32CubeMX)

网上有不少例子&#xff0c;都对&#xff0c;但对我来说碰到几个坑&#xff0c;避免以后再犯错&#xff0c;mark下。 目标&#xff1a;通过SPI接口&#xff0c;对Nor Flash进行读写 开发板上Nor Flash 是W25Q128&#xff0c; 128Mbit&#xff0c;也就是16MB样子 CubeMx端配置…

stm32同芯片但不同flash工程更换Device出现报错

目录 1. 问题描述2. 解决方案 1. 问题描述 stm32同芯片但不同flash工程更换Device出现报错 2. 解决方案 更换Device&#xff0c;我是从ZE换为C8&#xff1a; 把这个从HD更换为MD 解决&#xff01;

移植STM32官方加密库STM32Cryptographic

感谢这位博主&#xff0c;文章具有很高的参考价值&#xff1a; STM32F1做RSA&#xff0c;AES数据加解密&#xff0c;MD5信息摘要处理_我以为我爱了的博客-CSDN博客 概述 ST官方在很多年前就推出了自己的加密库&#xff0c;配合ST芯片用起来非常方便&#xff0c;支持ST的所有…

STM32F103ZE单片机呼吸灯源代码

//这个是中断文件/* USER CODE BEGIN Header *//********************************************************************************* file stm32f1xx_it.c* brief Interrupt Service Routines.**********************************************************************…

STM32定时器的One Pulse Mode,OPM应用

文章目录 OPM应用1-精准延时应用2-精准定时 OPM T IMx_CR1的OPM位 位 3 OPM&#xff1a;单脉冲模式 (One-pulse mode) 0&#xff1a;计数器在发生更新事件时不会停止计数 1&#xff1a;计数器在发生下一更新事件时停止计数&#xff08;将 CEN 位清零&#xff09; 应用1-精准延时…

【STM32】常用存储器

常用存储器 RAM 存储器 RAM 是“Random Access Memory”的缩写&#xff0c;被译为随机存储器。所谓“随机存取”&#xff0c;指的是当存储器中的消息被读取或写入时&#xff0c;所需要的时间与这段信息所在的位置无关。而RAM可随读取其内部任意地址的数据&#xff0c;时间都是…

FreeRTOS入门教程(队列的概念及相关函数介绍)

文章目录 前言一、队列概念二、队列的使用方法1.创建队列动态创建静态创建 2.复位队列3.删除队列4.写队列5.读队列6.查询队列7.覆盖/查看覆盖查看 总结 前言 本篇文章将带大家学习FreeRTOS中的队列&#xff0c;掌握什么是队列&#xff0c;并且学习如何使用队列&#xff0c;在什…

智能小车之测速小车原理和开发

目录 1. 测速模块介绍 2. 测试原理和单位换算 3. 定时器和中断实现测速开发和调试代码 4. 小车速度显示在OLED屏 1. 测速模块介绍 用途&#xff1a;广泛用于电机转速检测&#xff0c;脉冲计数,位置限位等。有遮挡&#xff0c;输出高电平&#xff1b;无遮挡&#xff0c;输出…

基于串口的BLE模组CC2640R2使用总结

之前写过蓝牙控制芯片nRF52832的一篇概述&#xff0c;里面主要记录了蓝牙的分层结构&#xff0c;需要的话可参考&#xff1a;nRF52832蓝牙概述_路溪非溪的博客-CSDN博客 这篇文章记录的是蓝牙模组的基本使用。 二者有何区别呢&#xff1f; nRF52832是一款基于蓝牙的主控芯片…

Keil工程打开发现目标芯片无法选择解决方案

买了一个开发板&#xff0c;配套有一些底层驱动的例程&#xff0c;打开后发现目标芯片无法选择&#xff0c;对应的下载Flash FLM文件也无法选择。从提示框中可以知道所提供的例程是Keil4的例程&#xff0c;我电脑上安装的Keil版本是Keil版本&#xff0c;估计是这个原因导致工程…

STM32Cube高效开发教程<基础篇>(八)----通用定时器-输入捕获、输出比较、PWM输出/互补输出等

声明:本人水平有限,博客可能存在部分错误的地方,请广大读者谅解并向本人反馈错误。    本专栏博客参考《STM32Cube高效开发教程(基础篇)》,有意向的读者可以购买正版书籍辅助学习,本书籍由王维波老师、鄢志丹老师、王钊老师倾力打造,书籍内容干货满满。    前天刚刚…

[最新榜单] 智能手机数据恢复的 10 款最佳应用

当手机上的数据消失时&#xff0c;这让您感到非常难过。 由于事故而突然丢失重要的聊天记忆、照片和其他您想保留的东西的悲伤。 如果它没有被淹没&#xff0c;您可以使用数据恢复应用程序修复它。 在本文中&#xff0c;我们将解释一些有用的数据恢复应用程序。 数据恢复应用…

基于PLC的污水厌氧处理控制系统(论文+源码)

1. 系统设计 污水厌氧由进水系统通过粗格栅和清污机进行初步排除大块杂质物体以及漂浮物等&#xff0c;到达除砂池中。在除砂池系统中细格栅进一步净化污水厌氧中的细小颗粒物体&#xff0c;将污水厌氧中的细小沙粒滤除后进入氧化沟反应池。在该氧化沟系统中进行生化处理&…

基于stm32移植使用u8g2 库

前言 前面我已经写了如何使用stm32 使用软件IIC的方法驱动OLED&#xff0c;但是其实我们可以有更简单的使用方法&#xff0c;对于SSD1306 这款OLED 显示屏来说&#xff0c;其实已经有开源库可以直接使用了&#xff0c;我们只需要将对应的库移植过来&#xff0c;做一些简单的修改…

STM32移植LVGL图形库

1、问题1&#xff1a;中文字符keil编译错误 解决方法&#xff1a;在KEIL中Options for Target Flash -> C/C -> Misc Controls添加“--localeenglish”。 问题2&#xff1a;LVGL中显示中文字符 使用 LVGL 官方的在线字体转换工具&#xff1a; Online font converter -…

基于STM32CubeMX和keil采用RTC时钟周期唤醒和闹钟实现LED与BEEP周期开关

文章目录 前言1. RTC概念1.1 RTC的时钟信号源1.2 预分频器1.3 实时时钟与日历数据1.4 周期性自动唤醒1.5 可编程闹钟 2. RTC相关中断3. STM32CubeMX配置3.1 时钟配置3.2 引脚配置3.3 RTC配置3.3.1 模式选择3.3.2 RTC基本参数配置3.3 中断配置 4. 代码编写总结 前言 RTC的功能有…

ros2原来本是一个通信协议

3.1 分布式 猛狮集训营 人工智能应用领域教育领导者&#xff0c;更多内容请关注公众号 3.1 分布式 场景 在许多机器人相关的应用场景中都涉及到多台ROS2设备协作&#xff0c;比如&#xff1a;无人车编队、无人机编队、远程控制等等&#xff0c;那么不同的ROS2设备之间是如何…

基于STM32设计的(无人)智慧超市-2023改进版

改进的内容: 增加了一个智慧超市登录入口,整个上位机只有一个APP文件。 可以选择顾客或者管理员的身份进去。优化了界面的显示。 一、项目背景 智慧超市是一种新型的零售形式,它将人工智能、物联网、云计算等技术应用到超市运营中,为消费者提供更加便捷、快捷、个性化的购…

初始化GPIO流程 以及点亮LED

点亮LED 需要单片机上的GIPIO端口引脚 输出对应的电压来对LED进行点亮 &#xff0c;关于GPIO的初始化流程其实我们只需要牢牢记住这张图即可 具体参考&#xff1a; https://blog.csdn.net/k666499436/article/details/123971479 1. GPIO的初始化 流程 使能时钟 在stm32中&…

【STM32单片机】简易计算器设计

文章目录 一、功能简介二、软件设计三、实验现象联系作者 一、功能简介 本项目使用STM32F103C8T6单片机控制器&#xff0c;使用动态数码管模块、矩阵按键、蜂鸣器模块等。 主要功能&#xff1a; 系统运行后&#xff0c;数码管默认显示0&#xff0c;输入对应的操作数进行四则运…

使用STM32微控制器实现光电传感器的接口和数据处理

光电传感器在许多领域中被广泛应用&#xff0c;例如工业自动化、智能家居等。本文将介绍如何使用STM32微控制器实现光电传感器的接口和数据处理的方案&#xff0c;包括硬件设计、引脚配置、数据采集、滤波和阈值判断等关键步骤&#xff0c;并给出相应的代码示例。 一、引言 光…

三 STM32F4使用Sys_Tick 实现微秒定时器和延时

更多细节参考这篇 1. 什么是时钟以及作用 1.1 什么是时钟 时钟是由电路产生的周期性的脉冲信号&#xff0c;相当于单片机的心脏 1.2 时钟对于STM32的作用 指令同步&#xff1a;cpu和内核外设使用时钟信号来进行指令同步数据传输控制&#xff1a; 时钟信号控制数据在内部总…

stm32 计数模式

计数模式 但是对于通用定时器而言&#xff0c;计数器的计数模式不止向上计数这一种。上文基本定时器中计数器的计数模式都是向上计数的模式。 向上计数模式&#xff1a;计数器从0开始&#xff0c;向上自增&#xff0c;计到和自动重装寄存器的目标值相等时&#xff0c;计数器清…

【正点原子STM32连载】 第六十一章 USB读卡器(Slave)实验摘自【正点原子】APM32F407最小系统板使用指南

1&#xff09;实验平台&#xff1a;正点原子APM32F407最小系统板 2&#xff09;平台购买地址&#xff1a;https://detail.tmall.com/item.htm?id609294757420 3&#xff09;全套实验源码手册视频下载地址&#xff1a; http://www.openedv.com/thread-340252-1-1.html## 第六十…

STM32H7 RTC及PC13问题

程序加了RTC时间过后&#xff0c;发现原本的RTC定时唤醒中断也不好使了&#xff0c;开始以为是PC13入侵检测引脚问题&#xff0c;经过测试&#xff0c;发现了一个大问题&#xff0c;当使用 HAL_RTC_SetTime(&hrtc, &time, RTC_FORMAT_BCD); 函数后&#xff0c;RTC变得…

STM32 CUBEIDE Outline is disabled due to scalability mode

项目场景&#xff1a; 问题描述 Outline is disabled due to scalability mode 看不到函数 解决方案&#xff1a;

STM32-使用固件库新建工程

参考链接: 【入门篇】11-新建工程—固件库版本&#xff08;初学者必须认认真真看&#xff09;_哔哩哔哩_bilibili 使用的MCU是STM32F103ZET6 。 这篇参考的是野火的资料&#xff0c;可以在“野火大学堂”或者它的论坛上下载。&#xff08;我通常是野火和正点原子的资料混着看的…

STM32Cube高效开发教程<基础篇>(十)----USART/UART通信

声明:本人水平有限,博客可能存在部分错误的地方,请广大读者谅解并向本人反馈错误。    本专栏博客参考《STM32Cube高效开发教程(基础篇)》,有意向的读者可以购买正版书籍进行学习,本书籍由王维波老师、鄢志丹老师、王钊老师倾力打造,书籍内容干货满满。 一、 功能概述…

iar如何全擦芯片内存

Project ->Download -> Erase memory

【STM32】STM32学习笔记-课程简介(1)

00. 目录 文章目录 00. 目录01. 课程简介02. 硬件设备03. 软件工具04. 硬件套件4.1 面包板和跳线/飞线4.2 杜邦线和STM32最小系统板4.3 STLINK和OLED显示屏4.4 LED和按键4.5 电位器和蜂鸣器4.6 传感器和旋转编码器4.7 USB转串口和MPU60504.8 Flash闪存和电机模块4.9 SG90舵机 0…

STM32DAC输出可调电压、三角波、正弦波

STM32DAC输出可调电压、三角波、正弦波 DAC简介输出可调电压输出正弦波输出三角波 本期内容我们将学习stm32DAC的原理和使用方法 DAC简介 DAC&#xff0c;全称&#xff1a;Digital-to-Analog Converter&#xff0c;指数字/模拟转换器。可以将数字量转换为模拟量进行输出&#…

【STM32】STM32学习笔记-新建工程(04)

00. 目录 文章目录 00. 目录01. 创建STM32工程02. STM32工程编译和下载03. LED测试04. 型号分类及缩写05. 工程结构06. 附录 01. 创建STM32工程 【STM32】STM32F103C8T6 创建工程模版详解(固件库) 02. STM32工程编译和下载 2.1 选择下载器位ST-Link Debugger 2.2 勾选上电…

vscode导入STM32CubeIDE工程文件夹未定义警告清除方法

0 前言 在我们使用vscode去编辑STM32CubeIDE的工程文件时&#xff0c;经常会出现一些类型未定义、头文件路径无效的问题&#xff0c;无法正常使用且非常影响观感。本文介绍如何设置vscode导入的STM32CubeIDE配置文件&#xff0c;解决这一问题。 1 vscode导入STM32CubeIDE工程…

STM32的HAL库串口编程

STM32的串口轮询 1. 一天的努力2. 问题3. 中断接收4. 还没有结束 1. 一天的努力 今天努力了一天&#xff0c;用STM32CubeIDE为STM32F103C8T6进行编程。遇到了串口麻烦。发送数据非常正常。接收数据碰到了问题&#xff0c;在这里写下来供初学者共勉。 2. 问题 轮询读取。在主…

STM32通用定时器

本文实践&#xff1a;实现通过TIM14_CH1输出PWM&#xff0c;外部显示为呼吸灯。 通用定时器简介 拥有TIM2~TIM5、TIM9~TIM14 一共10个定时器&#xff0c;具有4路独立通道&#xff0c;可用于输入捕获、输出比 较&#xff0c;同时包含了基本定时去的所有功能。 通用定时器的结…

STM32低功耗分析

1.ARM发布最新内核 2023 年5 月 29 日&#xff0c;Arm 公司今天发布了处理器核心&#xff1a;Cortex-X4、Cortex-A720 和Cortex-A520。这些核心都是基于 Arm v9.2 架构&#xff0c;只支持 64 位指令集&#xff0c;不再兼容 32 位应用。Arm 公司表示&#xff0c;这些核心在性能…

linux 下内存泄漏分析

linux 下内存泄漏分析 Linux下内存问题检测神器&#xff1a;Valgrind 在写大型C/C工程时难免会发生内存泄漏现象&#xff0c;系统编程中一个重要的方面就是有效地处理与内存相关的问题。 你的工作越接近系统&#xff0c;你就需要面对越多的内存问题。有时这些问题非常琐碎&am…

串行通信协议

提示&#xff1a;文章写完后&#xff0c;目录可以自动生成&#xff0c;如何生成可参考右边的帮助文档 文章目录 前言一、UART二、SPI二、IIC 前言 UART为异步串行通信&#xff0c;使用各自的时钟控制数据的发送和接受过程&#xff0c;不使用同步时钟&#xff0c;而是使用一些特…

大功率PID控温

#include "rtthread.h" #ifdef FRYER_TEMP_PID //PID控温 #include "pid.h" #include "string.h"#define DBG_TAG "app.pid" #define DBG_LVL DBG_INFO #include <rtdbg.h>/** * PID运算. * U(k)KP*[E(k)-E(k-1)]KI*E(k…

正点原子lwIP学习笔记——Socket接口TCP实验

1. Socket接口TCP Client配置连接 配置步骤如下所示&#xff1a; sin_family设置为AF_INET表示IPv4网络协议&#xff1b;sin_port为设置端口号&#xff1b;sin_addr. s_addr设置远程IP地址&#xff1b;调用函数Socket创建Socket连接&#xff0c; 注意该函数的第二个参数SOCK_…

【STM32单片机】u8g2智能风扇设计

文章目录 一、功能简介二、软件设计三、实验现象联系作者 一、功能简介 本项目使用STM32F103C8T6单片机控制器&#xff0c;使用按键、IIC OLED模块、DS18B20温度传感器、直流电机、红外遥控等。 主要功能&#xff1a; 初始化后进入温度显示界面&#xff0c;系统初始状态为手动…

嵌入式软件架构设计-建立抽象层

软件架构这东西&#xff0c;众说纷纭&#xff0c;各有观点。什么是软件架构&#xff0c;我们能在网上找到无数种定义。 比如&#xff0c;我们可以这样定义&#xff1a;软件架构是软件系统的基本结构&#xff0c;体现在其组件、组件之间的关系、组件设计与演进的规则&#xff0…

【STM32】IAP升级01 bootloader实现以及APP配置(主要)

APP程序以及中断向量表的偏移设置 前言 通过之前的了解 之前的了解&#xff0c;我们知道实现IAP升级需要两个条件&#xff1a; 1.APP程序必须在 IAP 程序之后的某个偏移量为 x 的地址开始&#xff1b; 2.APP程序的中断向量表相应的移动&#xff0c;移动的偏移量为 x&#xff…

STM32F407ZGT6移植HC-05蓝牙模块

由前文的代码移植到STM32F407ZGT6 STM32F103C8T6HC05蓝牙模块_善 .的博客-CSDN博客 可以参考上文。手机上做了GUI界面。效果如下 修改了主函数 #include "stm32f4xx.h" // Device header #include "delay.h" #include "usart.h&q…

MOS管为什么会存在寄生电感

说到MOS管的寄生参数&#xff0c;我们一般都只想到mos管各极间的寄生电容&#xff0c;很少会想到MOS管的寄生电感。 其实分立的MOS管它是存在寄生电感的&#xff0c;并且栅极&#xff0c;源极和漏极都存在。 在一些MOS的数据手册会提到这个寄生电感。 那么MOS管寄生电感是怎么产…

STM32-HAL库06-硬件IIC驱动FM24CL16B非易失存储器

STM32-HAL库06-IIC驱动FM24CL16B非易失存储器 一、所用材料&#xff1a; STM32VGT6自制控制板 STM32CUBEMX&#xff08;HAL库软件&#xff09; MDK5 二、所学内容&#xff1a; 通过HAL库的硬件IIC对FM24CL16B存储器进行写与读取操作。 三、CUBEMX配置&#xff1a; 第一步…

GD32F103 硬件SPI通信

1. SPI的通信原理 SPI既可以做主机也可以做从机。 当做主机时。MOSI&#xff0c;SCK,CS都是作为输出。 而作为从机时。MOSI&#xff0c;SCK,CS都是作为输入。 所以SPI的硬件电路应该实现这样的功能。 2. GD32/STM32的SPI框图 1. GD32框图 如下图做主机的数据流向&#xf…

【正点原子STM32连载】 第三十章 PVD电压监控实验 摘自【正点原子】APM32F407最小系统板使用指南

1&#xff09;实验平台&#xff1a;正点原子stm32f103战舰开发板V4 2&#xff09;平台购买地址&#xff1a;https://detail.tmall.com/item.htm?id609294757420 3&#xff09;全套实验源码手册视频下载地址&#xff1a; http://www.openedv.com/thread-340252-1-1.html# 第三…

第9章_freeRTOS入门与工程实践之任务管理

本教程基于韦东山百问网出的 DShanMCU-F103开发板 进行编写&#xff0c;需要的同学可以在这里获取&#xff1a; https://item.taobao.com/item.htm?id724601559592 配套资料获取&#xff1a;https://rtos.100ask.net/zh/freeRTOS/DShanMCU-F103 freeRTOS系列教程之freeRTOS入…

STLINK-V3 STDC14座转2.54mm排针转接板Kicad工程

简介 这是一个 STLINK-V3 STDC14座转2.54mm排针转接板Kicad工程 。STDC14座实际工作中不太方便&#xff0c;所以搞了这个转接板。另外转接版上提供了可选的电源输出功能。 An adapter board for STLINK-V3. It change the STDC14 to 2.54mm pin header.It also provides 5V an…

嵌入式学习笔记(25)串口通信的基本原理

三根通信线&#xff1a;Tx Rx GND &#xff08;1&#xff09;任何通信都要有信息作为传输载体&#xff0c;或者有线的或则无线的。 &#xff08;2&#xff09;串口通信时有线通信&#xff0c;是通过串口线来通信的。 &#xff08;3&#xff09;串口通信最少需要2根&#xff…

嵌入式学习笔记(29)轮询方式处理按键

X210开发板的按键接法 &#xff08;1&#xff09;查原理图&#xff0c;找到按键对应的GPIO&#xff1a;SW5:GPH0_2 SW6:GPH0_3 SW78910:GPH2_0123 &#xff08;2&#xff09;原理图上可看出&#xff1a;按下时是低电平&#xff0c;弹起时是高电平。 按键对应的GPIO模式设置 …

STM32 Cubemx 基本定时器Basic Timers

文章目录 前言简介Cubemx使用 前言 持续学习stm32中… 简介 基本定时器有TIM6和TIM7&#xff0c;是一个16位的向上定时器。基本定时器的用途较少&#xff0c;只能用于纯粹的定时器以及驱动DAC模块。 注&#xff1a;基本定时器各自独立&#xff0c;不存在共用的资源。 基本定…

电路中VCC VDD VSS VEE GND的含义

在电路中&#xff0c;芯片引脚经常会出现VCC&#xff0c;VDD&#xff0c;VSS&#xff0c;VEE和GND这些标示。 其中VCC一般表示通用芯片的电源引脚&#xff0c;比如一些模拟运放的正电源引脚&#xff0c;74系列数字芯片的电源引脚&#xff0c;VCC一般接相应的正电源电压。 VDD一…

STM32窗口看门狗 WWDG

目录 1.什么是窗口看门狗&#xff1f; 2.窗口看门狗工作原理 3.WWDG框图 4.控制寄存器&#xff08;WWDG_CR&#xff09; 5.配置寄存器&#xff08;WWDG_CFR&#xff09; 6.状态寄存器&#xff08;WWDG_SR&#xff09; 7.超时时间计算 8.窗口看门狗实验 9.独立看门狗和…

10 Ubuntu下配置STMCubeMX与CLion IDE联合环境搭建(不包含下载CLion的教程)

序言 果然作为一名测控系的学生&#xff0c;纯搞视觉多少还是有点与专业脱节&#xff0c;决定入坑嵌入式。选择STM32进行入门&#xff0c;并且使用CubeMX加CLion作为我的第一个真正意义上的嵌入式开发环境&#xff08;大一的时候玩过一段时间&#xff0c;但是没什么技术&#…

单片机第三季-第二课:STM32存储器、电源和时钟体系

目录 1&#xff0c;存储器 1.1&#xff0c;位带操作 2&#xff0c;启动模式 3&#xff0c;电源管理系统 4&#xff0c;复位和时钟 4.1&#xff0c;复位 4.2&#xff0c;时钟 1&#xff0c;存储器 ICode总线&#xff1a; 该总线将Cortex™-M3内核的指令总线与闪存指…

选择HAL库还是标准库

选择HAL库还是标准库呢&#xff1f;HAL库是趋势&#xff0c;标准库不再升级了&#xff0c;转HAL库是大势所趋。HAL库有优点&#xff0c;也有自身的不足&#xff0c;建议初学者还是从标准库入手。 标准库是单片机开发的基本库&#xff0c;它把“用寄存器实现的功能”写成一个函…

计时函数与float32 float16 int8 数据转换

个人整理常用 部分来自 ncnn 计时函数 // window 平台 #include <windows.h>double get_current_time() {LARGE_INTEGER freq; // 频率LARGE_INTEGER pc; // 计数QueryPerformanceFrequency(&freq);QueryPerformanceCounter(&pc);return pc.QuadPart * 1000…

PY32F003F18之通用定时器MspInit函数

PY32F003F18高级定时器有TIM1&#xff0c;通用定时器有TIM3&#xff0c;TIM14&#xff0c;TIM16和TIM17。在初始化定时器前&#xff0c;要先写好MspInit函数&#xff0c;才可以调用与之对应的初始化函数。 1、TIM1更新事件的MspInit函数 //函数功能:在初始化定时器时,HAL库使…

嵌入式开发笔记:STM32的外设GPIO知识学习

GPIO简介&#xff1a; • GPIO &#xff08; General Purpose Input Output &#xff09;通用输入输出口 • 可配置为 8 种输入输出模式 • 引脚电平&#xff1a; 0V~3.3V &#xff0c;部分引脚可容忍 5V &#xff08;如舵机和驱动直流电机&#xff09; • 输出模式下可控制端口…

STM32cubeIDE 更改Repository folder

使用STM32CubeIDE时&#xff0c;会调用STM32CubeMX&#xff0c;但是这两个软件下载的更新包都放在C:/user/目录下面&#xff0c;而且文件很大&#xff0c;用不了多久就会把C盘填满&#xff0c;所以刚开始安装的时候就要把更新目录更换掉。具体更换方法如下&#xff1a; Window…

索尼 toio™应用创意开发征文|检测工业平台震动

虽然索尼toio Q宝机器人主要是为儿童教育娱乐开发的&#xff0c;但我认为它在工业等领域也有一定应用潜力。例如&#xff0c;工业领域经常会有某些平面在实际作业中持续震动&#xff0c;导致零件过疲劳、平台失去稳定等问题。而这样的平台往往位于机器内部&#xff0c;从外部很…

【STM32基础 CubeMX】PWM输出

文章目录 前言一、PWM是什么&#xff1f;二、CubeMX配置PWM三、代码分析3.1 CubeMX生成代码3.2 PWM的几个库函数HAL_TIM_PWM_Start 3.3 PWM回调函数3.4 占空比占空比是什么__HAL_TIM_SET_COMPARE设置占空比 四、呼吸灯示例总结 前言 STM32微控制器是一系列功能强大的微控制器&…

嵌入式学习笔记(43)S5PV210的SD卡启动详解

8.4.1 SoC为何要支持SD卡启动 (1)一个普遍性的原则就是&#xff1a;SoC支持的启动方式越多&#xff0c;将来使用时就越方便&#xff0c;用户的可选择性就越大&#xff0c;SoC的适用面就越广。 (2)SD卡有一些好处&#xff1a;譬如可以在不借用专用烧录工具&#xff08;类似Jli…

15: 8种GPIO模式和其他资源

目录 一:GPIO 1:简历 2:模式 3:位结构 4:八种模式 A: 浮空/上拉/下拉输入 B:模拟输入 ----GPIO_Mode_AIN C: 开漏/推挽输出 D:复用开漏/推挽输出 E: 模式总结 二:其他资源 1:片上资源/外设 2:引脚定义表 一:GPIO 1:简历 GPIO&#xff08;General Purpose Input …

STM32CubeMX学习笔记-USB接口使用(CDC虚拟串口)

STM32CubeMX学习笔记-USB接口使用&#xff08;CDC虚拟串口&#xff09; 一、USB简介二、新建工程1. 打开 STM32CubeMX 软件&#xff0c;点击“新建工程”2. 选择 MCU 和封装3. 配置时钟4. 配置调试模式 三、USB3.1 参数配置3.3 配置时钟3.4 USB Device 四、生成代码五、查看端口…

【C++11新算法】all_of、any_of、none_of算法

文章目录 前言一、概念1.1all_of1.2any_of1.3none_of 二、使用方式三、示例代码3.1all_of3.2any_of3.3none_of3.4检查一个字符串中的所有字符是否为小写字母3.5查一个容器中是否至少存在一个字符串长度超过5的元素 总结 前言 在C11标准中&#xff0c;引入了许多重要的新特性和…

STM32复习笔记(二):GPIO

目录 &#xff08;一&#xff09;Demo流程 &#xff08;二&#xff09;工程配置 &#xff08;三&#xff09;代码部分 &#xff08;四&#xff09;外部中断&#xff08;EXTI&#xff09; &#xff08;一&#xff09;Demo流程 首先&#xff0c;板子上有4个按键&#xff0c;…

STM32复习笔记(一):软件配置工程创建

目录 Preface&#xff1a; Hardware-Configuration & Software-Environment&#xff1a; &#xff08;一&#xff09;新建项目工程 &#xff08;二&#xff09;工程配置 &#xff08;三&#xff09;配置外设 &#xff08;四&#xff09;项目管理 &#xff08;五&…

【物联网】STM32的中断机制不清楚?看这篇文章就足够了

在嵌入式系统中&#xff0c;中断是一种重要的机制&#xff0c;用于处理来自外部设备的异步事件。STM32系列微控制器提供了强大的中断控制器&#xff0c;可以方便地处理各种外部中断和内部中断。本文将详细介绍STM32中断的结构和使用方法。 文章目录 1. 什么叫中断2. 中断优先级…

STM32笔记—定时器

目录 一、TIM简介 二、基本定时器&#xff08;TIM6和TIM7&#xff09; 1. TIM6和TIM7简介 2. TIM6和TIM7的主要特性 3. TIM6和TIM7的功能 3.1 时基单元 3.2 计数模式 3.3 时钟源 三、通用定时器 1. TIMx(2、3、4、5)简介 2. TIMx主要功能 3. 时钟选择 4. 影子寄存器…

10 大 Android 手机系统修复软件深度评测

您的新 Android 手机可能因其令人兴奋的性能而印象深刻。然而&#xff0c;随着时间的推移&#xff0c;您可能会发现系统有些地方与以前不太一样。您可能会遇到屏幕无响应、 Android应用程序崩溃、连接问题、电池耗尽等现象。 10 大 Android 手机系统修复软件 好吧&#xff0c;…

单片机第三季-第六课:STM32标准库

1&#xff0c;为什么会有标准外设库 传统单片机软件开发方式&#xff1a; (1)芯片厂商提供数据手册、示例代码、开发环境&#xff1b; (2)单片机软件工程师面向产品功能&#xff0c;查阅数据手册&#xff0c;参考官方示例代码进行开发&#xff1b; (3)硬件操作的方式是用C语言…

ros2+UBUNTU读取STM32发送过来的数据(C++)

ATTENTION:一般ros2上位机访问STM32不是使用串口&#xff0c;即使树莓派有串口&#xff0c;我也不会用的&#xff0c;因为那还要去学习其他的语言&#xff0c;一般就是ros2---------ubs转串口-------STM32串口。 这个USB转串口&#xff0c;我们已经安装了CH340驱动了&#xff…

stm32使用多串口不输出无反应的问题(usart1、usart2)

在使用stm32c8t6单片机时&#xff0c;由于需要使用两个串口usart1 、usart2。usart1用作程序烧录、调试作用&#xff0c;串口2用于与其它模块进行通信。 使用串口1时&#xff0c;正常工作&#xff0c;使用串口2时&#xff0c;无反应。查阅了相关资料串口2在PA2\PA3 引脚上。RX…

详细了解STM32----GPIO

提示&#xff1a;永远支持免费开源知识文档&#xff0c;喜欢的点个关注吧&#xff01;谢谢&#xff01; 文章目录 一、什么是GPIO&#xff1f;二、GPIO基本结构三、GPIO的输入输出模式1、推挽输出2、开漏输出3、复用推挽4、复用开漏1、浮空输入2、上拉输入&#xff13;、下拉输…

VSCode Keil Assintant 联合开发STM32

文章目录 VSCodeKeil AssistantUV5&#x1f947;软件下载&#x1f947;配置环境&#x1f947;插件安装&#x1f948;C/C Extension Pack&#x1f949;C/C Extension Pack介绍&#x1f949;插件安装 &#x1f948;Keil Assistant&#x1f949;Keil Assistant介绍&#x1f949;插…

STM32 CAN多节点组网项目实操 挖坑与填坑记录

摘要 CAN线性组网项目开发过程中遇到的数据丢包问题&#xff0c;并尝试解决的记录和推测分析。 关键词 CAN串联多节点通讯、CAN10节点通讯、CAN数据丢包、STM32 CAN 背景/项目介绍 概述&#xff1a; 开发了一个多节点线性组网采集数据的项目。 系统包含1个供电和数据网关板还有…

STM32G030C8T6:使用按键控制LED亮灭(外部中断)

本专栏记录STM32开发各个功能的详细过程&#xff0c;方便自己后续查看&#xff0c;当然也供正在入门STM32单片机的兄弟们参考&#xff1b; 本小节的目标是&#xff0c;系统主频64 MHZ,采用高速外部晶振&#xff0c;通过KEY1 按键的PA0 引脚配置成中断输入引脚&#xff0c;PB9引…

普中STM32-PZ6806L开发板(HAL库函数实现-无源蜂鸣器响动)

简介 本篇将驱动无源蜂鸣器进行5KHz的响动。电路原理图 蜂鸣器电路原理图 主芯片驱动引脚原理图 其他知识 蜂鸣器类型 蜂鸣器分为 有源蜂鸣器 &#xff1a;触发就会响。 无源蜂鸣器 : 需要给源, 输出一定频率的音频信号&#xff0c; 震动发声; 占空比 为什么占空比总是5…

STM32F407ZGT6定时器(学习笔记一)

定时器STM32非常重要的外设&#xff0c;也是比较复杂的外设&#xff0c;下面以STM32F407ZGT6为例记录学习内容&#xff1a;&#xff08;1&#xff09;基本定时功能&#xff0c;&#xff08;2&#xff09;PWM输出功能&#xff0c;&#xff08;3&#xff09;PWM互补死区、多通道移…

WIFI模块——ESP8266

1、ESP8266简介 ESP8266是一款高性能的WIFI串口模块&#xff0c;内部集成MCU能实现单片机之间串口通信&#xff0c;是目前使用最广泛的一种WIFI模块之一。可以简单理解为一个WIFI转串口的设备&#xff0c;不用知道太多WIFI相关知识&#xff0c;只需要知道串口怎么使用就可以。…

嵌入式-C语言-江科大-指针的详解与应用

文章目录 一&#xff1a;计算机存储机制二&#xff1a;定义指针三&#xff1a;指针的操作四&#xff1a;数组与指针五&#xff1a;指针的应用道友&#xff1a;最清晰的脚印&#xff0c;踩在最泥泞的道路上。 推荐视频配合我的笔记使用 [C语言] 指针的详解与应用-理论结合实践&a…

【STM32】HAL库的RCC复位状态判断及NVIC系统软件复位

【STM32】HAL库的RCC复位状态判断及NVIC系统软件复位 在实际开发中 有时候会遇到复位状态不同 导致结果不同的情况 比如在上电复位时 电压不稳定 可能导致一些外部芯片无法正常工作 从而导致进行了错误的操作流程 所以 可以在程序运行后 加一个复位状态判断 用来检测是否正常复…

智能冶钢厂环境监控与设备控制系统(边缘物联网网关)

目录 1、项目背景 2、项目功能介绍 3、模块框架 3.1 架构框图 3.2 架构介绍 4、系统组成与工作原理 4.1 数据采集 4.2 指令控制 4.3 其他模块 4.3.1 网页、qt视频流 4.3.2 qt搜索进程 5、成果呈现 6、问题解决 7、项目总结 1、项目背景 这个项目的背景是钢铁行业的…

STM32在CTF中的应用和快速解题

题目给的是bin文件&#xff0c;基本上就是需要我们手动修复的固件逆向。 如果给的是hex文件&#xff0c;我们可能需要使用MKD进行动态调试 主要还是以做题为目的 详细的可以去看文档&#xff1a;https://pdf1.alldatasheet.com/datasheet-pdf/view/201596/STMICROELECTRONIC…

PWM实现蜂鸣器

tim4.h #ifndef __TIM4_H__ #define __TIM4_H__ #include "stm32mp1xx_rcc.h" #include "stm32mp1xx_gpio.h" #include "stm32mp1xx_tim.h" void timer4_init();#endif tim4.c #include "tim4.h"void timer4_init() {// 1. 设置GPI…

【程序】STM32 读取光栅_编码器_光栅传感器_7针OLED

文章目录 源代码工程编码器基础程序参考资料 源代码工程 源代码工程打开获取&#xff1a; http://dt2.8tupian.net/2/28880a55b6666.pg3这里做了四倍细分&#xff0c;在屏幕上显示 速度、路程、方向。 接线方法&#xff1a; 单片机--------------串口模块 单片机的5V-------…

freeRTOS使用

创建第一个FreeRTOS程序 1、官网源码下载 &#xff08;1&#xff09;进入FreeRTOS官网FreeRTOS professional services for application and RTOS development and consulting. FreeRTOS is an Open Source Code RTOS &#xff08;2&#xff09;点击下载FreeRTOS 2、处理目录 &…

浮点数float类型不能用“==” 否知if语句不起作用

浮点数float类型不能用“” 否知if语句不起作用 简介演练 简介 在许多编程语言中&#xff0c;包括Python&#xff0c;浮点数类型&#xff08;float&#xff09;的比较是有问题的。浮点数是近似表示的&#xff0c;因此在比较两个浮点数是否相等时可能会遇到精度问题。 比如&am…

带PWM 调光的线性降压 LED 恒流驱动器

一、基本概述 TX6410B是一种带 PWM 调光功能的线性降压 LED 恒流驱动器&#xff0c;仅需外接一个电阻就可以构成一个完整的 LED 恒流驱动电路&#xff0c;调节该外接电阻可调节输出电流&#xff0c;输出电流范围为 10~2000mA。TX6410B内置 30V 50 毫欧 MOS。TX6410B内置过热保…

(七)STM32 NVIC 中断、优先级管理及 AFIO 时钟的开启

目录 1. 中断相关知识简介 1.1 什么是中断 1.2 什么是内中断、外中断 1.3 什么是可屏蔽中断、不可屏蔽中断 2. CM3 内核中断介绍 2.1 F103系统异常清单 2.2 F103 外部中断清单 3. NVIC 简介 3.1 NVIC 寄存器简介 3.2 NVIC 相关寄存器的介绍 4. 中断优先级 4.1 优先…

【STM32】STM32学习笔记-对射式红外传感器计次 旋转编码器计次(12)

00. 目录 文章目录 00. 目录01. NVIC相关函数1.1 NVIC_PriorityGroupConfig函数1.2 NVIC_PriorityGroup类型1.3 NVIC_Init函数1.4 NVIC_InitTypeDef类型 02. 外部中断相关API2.1 GPIO_EXTILineConfig2.2 EXTI_Init2.3 EXTI_GetITStatus2.4 EXTI_ClearITPendingBit2.5 中断回调函…

STM32 CAN多节点组网项目实操 挖坑与填坑记录2

系列文章&#xff0c;持续探索CAN多节点通讯&#xff0c; 上一篇文章链接&#xff1a; STM32 CAN多节点组网项目实操 挖坑与填坑记录-CSDN博客文章浏览阅读120次。CAN线性组网项目开发过程中遇到的数据丢包问题&#xff0c;并尝试解决的记录和推测分析。开发了一个多节点线性…

STM32F103RCT6开发板M3单片机教程05--RCC配置

前言 首先了解一下是什么RCC(Reset Clock Control)&#xff0c;复位和时钟控制(RCC) 小容量产品是指闪存存储器容量在16K至32K字节之间的STM32F101xx&#xff0c; STM32F102xx和STM32F103xx微控制器。 中容量产品是指闪存存储器容量在64K至128K字节之间的STM32F101xx&#xff…

基于STM32F103的病房监控系统的设计

论文题目&#xff1a;基于STM32F103的病房监控系统的设计 摘要&#xff1a; 病房是患者恢复期间的重要环境&#xff0c;对于病房内的环境和设备状态进行监测和管理具有重要意义。本文提出了一种基于STM32F103的病房监控系统的设计方案。该方案利用嵌入式系统和物联网技术&…

如何在 Windows 电脑的SSD硬盘恢复数据

当人们想要更换硬盘时&#xff0c;他们通常会从 HDD&#xff08;硬盘驱动器&#xff09;切换到 SSD&#xff08;固态硬盘&#xff09;。这是因为 SSD 被认为比 HDD 更好并且速度更快。但这并不意味着您不会丢失 SSD 设备中的文件&#xff01; 当 SSD 丢失文件时&#xff0c;恢…

嵌入式培训机构四个月实训课程笔记(完整版)-Linux网络编程第三天-UDP编程练习题(物联技术666)

网盘链接:https://pan.baidu.com/s/1TKdHdeuDI8XPaakepvSLZQ?pwd=1688 提取码:1688 利用UDP实现双人不同机器聊天(服务器可以被多人连接,显示多人聊天记录) //-------------------------服务器 #include <string.h> #include <sys/types.h> #include <…

据报道,微软的下一代 Surface 笔记本电脑将是其首款真正的“人工智能 PC”

明年&#xff0c;微软计划推出 Surface Laptop 6和 Surface Pro 10&#xff0c;这两款设备将提供 Arm 和 Intel 两种处理器选项。不愿意透露姓名的不透露姓名人士透露&#xff0c;这些新设备将引入先进的人工智能功能&#xff0c;包括配备下一代神经处理单元 (NPU)。据悉&#…

嵌入式-stm32-SR04超声波测距介绍及实战

一&#xff1a;超声波传感器介绍 1.1、SR04超声波测距硬件模块 1.2、SR04的四个IO口 vcc:提供电源5V gnd:接地 Trig:是**发送**声波信号的触发器 Echo:是**接收**回波信号的引脚 当TRIG信号被触发时&#xff0c;传感器会发送一定频率的声波信号&#xff0c;该信号被反射后&am…

【STM32】STM32学习笔记-输入捕获测频率和占空比(18)

00. 目录 文章目录 00. 目录01. 预留02. 输入捕获测频率接线图03. 输入捕获测频率示例04. 输入捕获测频率和占空比接线图05. 输入捕获测频率和占空比示例06. 示例程序下载07. 附录 01. 预留 02. 输入捕获测频率接线图 03. 输入捕获测频率示例 pwm.h #ifndef __PWM_H #define…

stm32实战之su-03t语音模块固件的制作与烧录

目录 su-03t简介 管脚定义 ​​智能公元语音固件制作​​ 账号注册 创建产品 产品配置 唤醒词自定义 命令词自定义 发音人配置 其他配置 生成和下载语音固件 固件烧录 下载SDK固件烧录工具 SU-03T驱动分享 su-03t简介 SU-03T 是一款低成本、低功耗、小体积的离线…

嵌入式-stm32-基于HAL库的感应开关盖垃圾桶项目(开源)

嵌入式-stm32-感应开关盖垃圾桶项目&#xff08;开源&#xff09; 网盘资料 《嵌入式-stm32-基于HAL库的感应开关盖垃圾桶项目&#xff08;开源&#xff09;》 目录 一&#xff1a;项目概述 二&#xff1a;材料准备 三&#xff1a;细节分析&#xff08;重点&#xff09; 四&…

W25Q128芯片手册精读

文章目录 前言1. 概述2. 特性3. 封装类型和引脚配置3.1 8焊盘WSON 8x6 mm3.2其他封装 4. 引脚描述4.1 片选4.2 串行数据输入输出4.3 写保护4.4 保持脚4.5 时钟 5. 块图6. 功能描述6.1 SPI功能6.1.1 标准SPI6.1.2 双通道SPI6.1.3 四通道SPI6.1.4 保持功能 6.2 写保护6.2.1 写保护…

Clion中使用C/C++开发stm32程序

前言 从刚开始学习阶段&#xff0c;一直是用的keil5开发stm32程序&#xff0c;自从看到稚晖君推荐的CLion开发嵌入式程序后&#xff0c;这次尝试在CLion上开发stm32程序。 1、配置CLion用于STM32开发的环境 这里我就不详细写了&#xff0c;没必要重新写&#xff0c;网上教程很多…

Stm32_标准库_7_光敏传感器

AO端口&#xff1a;通俗的讲大概是根据环境亮度的不同导致电阻的阻值不同&#xff0c;最后AO口输出的模拟量也不同&#xff0c;这个端口是用来测量环境光照的具体强度 DO端口&#xff1a;光敏电阻默认设置了一个阈值&#xff0c;当光照强度高于这个阈值本端口输出低电平&#…

(五)正点原子STM32MP135移植——烧录

一、概述 这里用的烧录方式是使用STM32CubeProgrammer USB方式烧录 二、文件准备 还记得FIP_artifacts文件夹吗&#xff0c;里面存放了TF-A、optee、u-boot编译输出的东西&#xff0c;以及最后的fip-stm32mp135-atk-optee.bin文件 烧写程序需要准备这些&#xff1a; 1. …

【基于STM32OpenCV的车载机器人的抓取控制软件设计】

这里写自定义目录标题 本科优秀毕业论文《基于STM32&OpenCV的车载机器人的抓取控制软件设计》摘要:Abstract:前 言1方案设计与论证2机器人硬件电路设计3机器人软件设计4系统主要功能测试5 结 论参考文献本科优秀毕业论文《基于STM32&OpenCV的车载机器人的抓取控制软件…

STM32 CubeMX ADC采集(HAL库)

STM32 CubeMX ADC采集&#xff08;HAL库&#xff09; STM32 CubeMX STM32 CubeMX ADC采集&#xff08;HAL库&#xff09;ADC介绍ADC主要特征一、STM32 CubeMX设置二、代码部分三&#xff0c;单通道轮询采样速度总结 ADC介绍 12位ADC是一种逐次逼近型模拟数字转换器。它有多达1…

驱动开发:STM32F7控制AD5663模拟量输出

AD5663是ADI公司的一款DAC模块&#xff0c;用以实现两路模拟量信号输出。该芯片通过SPI通信来驱动。下面讲解使用STM32F7主控芯片来控制AD5663模拟量输出的流程。 配置STM32F7 SPI通信管脚 STM32CubeMX生成SPI驱动代码 /* SPI3 init function */ void MX_SPI3_Init(void) {/*…

stm32之手动创建keil工程--HAL库

用CubeMx创建了好多stm32的工程&#xff0c;这里记录下手动创建keil工程的过程。完整工程在最后。 一、准备工作 1.1、下载对应的HAL库&#xff0c; 这里使用的是stm32f103c8t6, 下载地址stm32HAL库 在页面中输入对应型号点击进行二级页面进行下载 下载的之后的目录如下&am…

【Proteus仿真】【51单片机】电蒸锅温度控制系统

文章目录 一、功能简介二、软件设计三、实验现象联系作者 一、功能简介 本项目使用Proteus8仿真51单片机控制器&#xff0c;使用LCD1602液晶、按键开关、蜂鸣器、DS18B20温度传感器&#xff0c;液位传感器、继电器控制加热保温装置等。 主要功能&#xff1a; 系统运行后&#…

STM32 看门狗(WDG)

STM32 看门狗&#xff08;WDG&#xff09; WDG简介 WDG&#xff08;Watchdog&#xff09;看门狗 在程序卡死的情况下&#xff0c;自动帮我们复位 简单来说就是程序运行的一个保障措施&#xff0c;我们得在程序中定期地进行喂狗&#xff0c;如果程序出问题卡死了&#xff0c;没…

STM32MP157汇编流水灯

.text .global _start _start: /* 使能GPIOE、GPIOF寄存器 RCC_MP_AHB4ENSETR * 基地址: 0x50000000 偏移地址: 0xA28 0x50000A28* RCC_MP_AHB4ENSETR[4]->1 RCC_MP_AHB4ENSETR[5]->1*/ LDR R0,0x50000A28LDR R1,[R0]ORR R1,R1,#(0x1<<4)STR R1,[R0]LDR R0,0x…

STM32 CubeMX PWM两种模式(HAL库)

STM32 CubeMX PWM两种模式&#xff08;HAL库&#xff09; STM32 CubeMX STM32 CubeMX PWM两种模式&#xff08;HAL库&#xff09;一、互补对称输出STM32 CubeMX设置代码部分 二、普通模式STM32 CubeMX设置![在这里插入图片描述](https://img-blog.csdnimg.cn/647ba7dcefb545bb8…

STM32疑难杂症

1.keil的奇怪问题 创建的数组分配内存到0x10000000地址的时候,数据总是莫名其妙的出现问题,取消勾选就正常了 stm32f407内部有一个CCM内存,这部分内存只能由内核控制,任何外设都不能够进行访问。这样问题就来了,如果使用keil5进行编程时勾选了这个选项(下图),则编译的…

stm32H743编译器关于浮点类型强制转换传参的bug

局部函数&#xff0c;正常传参 当测试函数作为局部函数和main函数写在同一个文件中时&#xff0c;参数可以正常传递。函数参数和形参都为3.14 float value 0.0; void float_test(float _v) {value _v; }int main(void) {float_test(3.14f);while(1); } keil仿真截图&#…

基于单片机的农田灌溉系统(论文+源码)

1.系统设计 本系统主要实现如下目标&#xff1a; 1&#xff0e;可以实时监测土壤湿度&#xff1b; 2&#xff0e;土壤湿度太低时&#xff0c;进行浇水操作&#xff1b; 3&#xff0e;可以按键设置湿度的触发阈值&#xff1b; 4. 可以实现远程操控 5&#xff0e;可以实现手…

基于STM32的心率血氧体征监测系统设计与实现

一、摘要 本论文主要研究了基于STM32的心率血氧体征监测系统的设计与实现。该系统主要由STM32微控制器、脉搏传感器、血氧传感器和LCD显示屏等部分组成。通过脉搏传感器和血氧传感器采集用户的心率和血氧饱和度数据&#xff0c;然后通过STM32微控制器进行处理和分析&#xff0…

嵌入式开发——电源管理单元PMU

学习目标 了解什么是电池管理单元PMU了解ARM32中的电源域了解几种省电模式学习内容 PMU PMU全称Power Management Unit,电源管理单元。 电源域 总共有三大电源域,包括VDD / VDDA域,1.2V域和备份域。 VDD/VDDA域 VDD/VDDA域如下图: 提供PMU 常规电源供应以下模块的供电…

独立式键盘控制步进电机实验

#include<reg51.h> //包含51单片机寄存器定义的头文件 sbit S1P1^4; //将S1位定义为P1.4引脚 sbit S2P1^5; //将S2位定义为P1.5引脚 sbit S3P1^6; //将S3位定义为P1.6引脚 unsigned char keyval; //储存按键值 unsigned char ID; …

rust嵌入式开发

最近终于打通了rust嵌入式&#xff0c;值得庆贺&#xff01;在折腾的过程中发现相关的资料不说少&#xff0c;但合用的太少&#xff0c;所以做个总结&#xff0c;希望能帮到有需要的兄弟。 在这个回答中我说了一下为什么想要启用rust嵌入式&#xff0c;不过当时还是有点低估了…

【智能家居入门之环境信息监测】(STM32、ONENET云平台、微信小程序、HTTP协议)

作为入门本篇只实现微信小程序接收下位机上传的数据&#xff0c;之后会持续发布如下项目&#xff1a;①可以实现微信小程序控制下位机动作&#xff0c;真正意义上的智能家居&#xff1b;②将网络通讯协议换成MQTT协议再实现上述功能&#xff0c;此时的服务器也不再是ONENET&…

使用STM32和MPU6050实现基于手势的室内导航系统

基于STM32和MPU6050的基于手势的室内导航系统是一个创新而具有挑战性的项目。在本文中&#xff0c;我们将介绍如何利用STM32微控制器和MPU6050传感器实现基于手势的室内导航系统&#xff0c;并提供相应的代码示例。 1. 系统概述 基于手势的室内导航系统旨在通过手势识别的方式…

嵌入式物联网项目开发实战例程-STM32F103系列之外围器件代码

开发STM32F103很好的参考例程&#xff0c;轻松实现各类外围器件的开发。持续更新中&#xff0c;欢迎关注及收藏。 0001基于STM32F103单片机GPIO实现控制LED灯闪烁的程序代码.zip 0002基于STM32F103单片机GPIO实现按键KEY的检测程序代码.zip 0003基于STM32F103单片机GPIO实现外部…

STM32 定时器输入捕获3——捕获超长高电平

第一章&#xff1a;https://blog.csdn.net/m0_73671341/article/details/134773615?spm1001.2014.3001.5501 第二章&#xff1a;https://blog.csdn.net/m0_73671341/article/details/134938332?spm1001.2014.3001.5501 从第一章的定时器捕获我们可以知道&#xff0c;即使把定…

FreeRTOS——任务管理

学习目标 理解任务管理机制掌握动态任务创建掌握任务删除掌握任务挂起和恢复了解静态任务创建了解任务调度机制了解临界区的概念学习内容 任务处理常见操作 操作 API 动态任务创建 xTaskCreate 任务删除 vTaskDelete 静态任务创建 vTaskCreateStatic 挂起任务 vTaskSuspend 恢…

RTK使用步骤

RTK&#xff08;工作电压3.3V&#xff09;使用步骤 基准站&#xff08;蓝牙 WiFi&#xff09; 配置基本都在Web端&#xff0c;但配置USB-C的输入输出还是要到u-center Base Station模式 当开关设置为 Base 时&#xff0c;设备将进入 Base Station 模式。这在设备安装到固定位…

Stm32_标准库_GPIOA初始化

代码&#xff1a; #include "stm32f10x.h" // Device headerGPIO_InitTypeDef GPIO_InitStructur;//定义变量结构体int main(void){/*使用RCC开启GPIO的时钟*/RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA, ENABLE);//开启PA端口时钟/*使用GPIO_I…

简易LDO设计(包含原理图、PCB和实验)

一、前置知识 ①该电路是通过三极管&#xff08;BJT&#xff09;来实现的&#xff0c;所以需要知晓三极管的工作原理和特性。 ②三极管有三种状态&#xff1a;放大、饱和、截止。本文是利用三极管的放大状态来模拟LDO芯片的功能。 二、原理图 ①稳压二极管要想稳定到某个电压范…

2023年8月嵌入式项目开发专题总汇

一、前言 本文介绍基于嵌入式系统和C语言开发的系列项目。这些项目涵盖了多个领域&#xff0c;从自动化控制到游戏开发&#xff0c;从计算机网络到物联网应用。通过这些项目的开发过程&#xff0c;将深入探讨各种技术和解决方案&#xff0c;并分享相关经验和知识。 在本文中&…

STM32使用ThreadX示例以及tx_thread_create解析

示例代码 以下是一些基本示例代码&#xff0c;用于STM32F4 Discovery板和ThreadX库。 #include "stm32f4xx.h" #include "tx_api.h"/* 定义任务堆栈大小 */ #define TASK_STACK_SIZE 1024/* 定义任务优先级 */ #define TASK_PRI 16/* 定义两个任务的ID *…

使用keil反汇编时的记录

跳转到系统初始化时&#xff0c;使用BLX ,其后只能用寄存器&#xff0c;注意事项见下方 IMPORT后面跟随函数名或变量名&#xff0c;作用相当于C中的extern关键字&#xff0c;指出这些全局符号是在其它源文件中定义的。 EXPORT &#xff0c;表示本程序里面用到的变量提供给其他…

Stm32_标准库_8_ADC_光敏热敏传感器_测数值

在测量光敏传感器数值得基础上手动将通道改成热敏传感器通道即可 由于温度传感器的测量范围是-20 ~ 105摄氏度&#xff0c;所以输出温度得考虑带上符号这就需要在原有输出光照强度代码的基础上新添加几个函数 函数1&#xff1a; uint16_t AD_Getvailue(uint8_t ADC_Channel){…

嵌入式开发学习之STM32F407点亮LED及J-Link下载(二)

嵌入式开发学习之STM32F407点亮LED及J-Link下载&#xff08;二&#xff09; 开发涉及工具控制端口配置端口的设定与确认端口配置方法实现点亮LED程序下载与仿真 有工程实例&#xff0c;链接在最底部。 开发涉及工具 开发环境&#xff08;IDE&#xff09;&#xff1a;IAR-ARM8…

嵌入式学习笔记(55)LCD简介

12.1.1什么是LCD (1)Liquid Crystal Display&#xff0c;俗称液晶显示 (2)液晶是一种材料&#xff0c;液晶这种材料具有一种特点&#xff1a;可以在电信号的驱动下液晶分子进行旋转&#xff0c;旋转时会影响透光性&#xff0c;因此我们可以在整个液晶面板后面用白光照&#x…

RTC 时间、闹钟

实时时钟RTC是一个独立的定时器。RTC模块拥有一个连续计数的计数器&#xff0c;在软件配置下&#xff0c;可以提供时钟日历的功能。修改计数器的值可以重新设置当前时间和日期 RTC还包含用于管理低功耗模式的自动唤醒单元。 在掉电情况下 RTC仍可以独立运行 只要芯片的备用电源…

【Stm32-F407】Keil uVision5 的安装

文章内容如下&#xff1a; 1&#xff09;Keil uVision5 安装包的获取2&#xff09;Keil uVision5 的安装3&#xff09;Keil uVision5 中 Stm32-F407 芯片包的获取与安装4&#xff09;注册 Keil uVision5 1&#xff09;Keil uVision5 安装包的获取 Keil uVision5 安装包链接: h…

Arduino_STM32整理贴

Arduion-STM32 stm32duino 让stm32 在arduino中使用 源代码&#xff1a;https://github.com/stm32duino/Arduino_Core_STM32 busybox文件位置 stm32duino 下有个stm32tool 项目&#xff0c;内含有busybox.exe 使用usb转TTL烧写 使用 PA9 PA10 端口 PA9接 RX ,PA10接 TX …

STM32CubeMX学习笔记-DAC接口使用(输出电压)

STM32CubeMX学习笔记-DAC接口使用&#xff08;输出电压&#xff09; 一、DAC简介二、DAC通道选择三、新建工程四、DAC14.1 参数配置4.2 生成代码 五、库函数六、修改main函数 原创链接 1 一、DAC简介 DAC(Digital-to-Analog Converter)&#xff0c;即数字/模拟转换模块&#x…

FreeRTOS——事件组

学习目标 理解什么是事件组理解事件组标志位掌握事件组开发流程学习内容 概念 在FreeRTOS中,事件组(Event Group)是一种用于任务间同步和通信的机制。事件组允许任务等待和检测多个事件的状态,并在事件发生时进行通知。 事件组由一组标志位(或事件位)组成,每个标志位…

FreeRTOS——中断管理

学习目标 理解中断概念了解FreeRTOS的中断优先级了解中断的开和关学习内容 中断概念 中断是计算机系统中一种重要的事件驱动机制,用于在特定条件下打断正在执行的程序,并跳转到预定义的中断处理程序中执行特定的操作。当发生中断时,处理器会立即中止当前正在执行的指令,保…

STM32 CubeMX产生的程序架构

使用STM32CubeMX产生启动相关代码&#xff0c;配置各种外设。在后续程序开发过程中&#xff0c;有可能使用STM32CubeMX逐步产生使用的代码&#xff0c;为了将其产生的代码和我们程序隔离&#xff0c;一种可行的程序架构如下&#xff1a; 在此架构中&#xff0c;STM32CubeMX产生…

【STM32】HAL库的STOP低功耗模式UART串口唤醒BUG,第一个接收字节出错的问题(尚未解决,疑难杂症)

【STM32】HAL库的STOP低功耗模式UART串口唤醒BUG&#xff0c;第一个接收字节出错的问题&#xff08;尚未解决&#xff0c;疑难杂症&#xff09; 文章目录 BUG复现调试代码推测原因及改进方案尝试中断时钟供电外设唤醒方式校验码硬件问题 切换到STOP0模式尝试最终结论和猜想附录…

STM32低功耗模式

一、低功耗模式介绍 STM32 的低功耗模式有 3 种&#xff1a; 1)睡眠模式&#xff08;CM3 内核停止&#xff0c;外设仍然运行&#xff09; 2)停止模式&#xff08;所有时钟都停止&#xff09; 3)待机模式&#xff08;1.8V 内核电源关闭&#xff09; 在这三种低功耗模式中&#…

基于STM32设计的智能饮水机(微信小程序)

一、项目背景 随着社会科技的快速发展以及人们对健康生活品质追求的提升,饮水设备已经从传统单一功能的开水器向智能化、多功能化的方向转变。智能饮水机作为家庭与办公环境中的重要组成部分,其市场需求日益增长,用户期待能实时监测水质、精确控制水温和水量,并实现远程操…

STM32CubeMX配置STM32G071UART+DMA收发数据(HAL库开发)

时钟配置HSI主频配置64M 配置好串口&#xff0c;选择异步模式 配置DMA TX,RX,选择循环模式。 NVIC中勾选使能中断 勾选生成独立的.c和h文件 配置好需要的开发环境并获取代码 串口重定向勾选Use Micro LIB main.c文件修改 增加头文件和串口重定向 #include <string.h&g…

【RTOS】快速体验FreeRTOS所有常用API(4)队列

目录 四、队列2.1 概念2.2 创建队列2.3 写队列2.4 读队列2.5 队列集&#xff08;可跳过&#xff09; 四、队列 该部分在上份代码基础上修改得来&#xff0c;代码下载链接&#xff1a; https://wwzr.lanzout.com/iBNAS1l75bvc 密码:7xy2 该代码尽量做到最简&#xff0c;不添加多…

STM32串口485通信如何控制收发管脚

要有效的控制485的收发管脚&#xff0c;首先要知道485通信发送命令完成的时间&#xff0c;我们执行发送命令结束并不代表硬件已经把数据发出去了&#xff0c;可能1ms以后才真正完成&#xff0c;如果我们控制管脚不当&#xff0c;可能导致数据不能完全发出去。导致串口通信异常。…

通用外设-W25Q64

前言 一、SPI通信 二、W25Q64基初时序 1.各种命令代码 2.代码 1.写使能指令 2.读取芯片是否忙碌状态并等待 3.写入数据 4.擦除函数操作 5.读取代码 三.验证 四.擦除说明 总结 前言 在单片机中一般32K FLASH就够用了&#xff0c;但是当我们使用图片或其他大量数据时…

【STM32CubeMX串口通信详解】USART1 -- DMA发送 + DMA空闲中断 接收不定长数据

文章目录&#xff1a; 前言 一、准备工作 1、接线 2、新建工程 二、CubeMX的配置 1、USART1 配置 异步通信 2、通信协议参数 3、打开DMA发送、接收 三、发送操作、代码解释 四、printf 重定向到USART1 五、接收代码的编写 1、定义一个结构体变量&a…

为啥4位单片机那么LOW,还没被淘汰?

我以为8位的51单片机已经够低端了&#xff0c;没想到竟然还有4位单片机&#xff01;它到底有什么优势&#xff1f;用在什么领域&#xff1f; 在刚开始学习单片机的时候&#xff0c;我一直以为用越高端的芯片就越牛逼。 而现实&#xff0c;公司做产品和我们单片机学习者&#xf…

世微AP5160宽电压 LED 降压型恒流芯片14-18V 3A 电源PCB线路

这是一款14-18V 3A 电流的PCB设计方案. 运用的是世微AP5160 电源驱动IC,这是一款效率高&#xff0c;稳定可靠的 LED 灯恒流驱动控制芯片&#xff0c;内置高精度比较器&#xff0c;固定 关断时间控制电路&#xff0c;恒流驱动电路等&#xff0c;特别适合大功率 LED 恒流驱动。 …

正点原子嵌入式linux驱动开发——U-boot启动流程详解

在上一篇笔记中详细分析了uboot的顶层Makefile&#xff0c;理清了uboot的编译流程。本章来详细的分析一下uboot的启动流程&#xff0c;理清uboot是如何启动的。通过对uboot启动流程的梳理&#xff0c;可以掌握一些外设是在哪里被初始化的&#xff0c;这样当需要修改这些外设驱动…

【智能家居项目】裸机版本——字体子系统 | 显示子系统

&#x1f431;作者&#xff1a;一只大喵咪1201 &#x1f431;专栏&#xff1a;《智能家居项目》 &#x1f525;格言&#xff1a;你只管努力&#xff0c;剩下的交给时间&#xff01; 今天实现上图整个项目系统中的字体子系统和显示子系统。 目录 &#x1f004;设计思路&#x1…

使用winUSB进行USB开发

什么是winUSB WinUSB是Windows操作系统提供的一种通用USB驱动程序&#xff0c;用于简化USB设备的开发和使用。它是一个用户模式驱动程序&#xff0c;可以在Windows XP及更高版本的操作系统上使用。WinUSB提供了一组API和工具&#xff0c;使开发人员能够与USB设备进行通信&…

全局变量报错:\Output\STM32.axf: Error: L6218E: Undefined symbol

全局变量报错&#xff1a; .\Output\STM32.axf: Error: L6218E: Undefined symbol key_num (referred from main.o). 这里只说全局变量哦&#xff0c;这是因为你在调用的.c文件里 把定义写在了函数里面&#xff0c;写函数外面就没事了 改为&#xff1a; .h的声明文件根本不用写…

PCB走线的传输延时有多少

信号在PCB上的传输速度虽然很快&#xff0c;但也是存在延时的&#xff0c;一般经验值是6mil/ps。 也就是在PCB上&#xff0c;当信号线走线长度为6mil的时候&#xff0c;信号从驱动端到达接收端需要经过1ps。 信号在PCB上的传输速率&#xff1a; 其中C为信号在真空中的传播速率…

CubeMX+BabyOS 使用方法

MCU&#xff1a;STM32G030F 编译器&#xff1a;MDK 托管工具&#xff1a;Sourcetree CubeMX创建工程 BabyOS克隆 添加子模块 git submodule add https://gitee.com/notrynohigh/BabyOS.git BabyOS 切换dev 分支 查看当前分支 git branch -a 切换本地分支到dev git che…

#IIC 通信协议

IIC简介 I2C 通讯协议 (Inter &#xff0d; Integrated Circuit) 是由 Phiilps 公司开发的 物理层 它的物理层有如下特点&#xff1a; (1) 它是一个支持设备的总线。“总线”指多个设备共用的信号线。在一个 I2C 通讯总线中&#xff0c;可连接多个 I2C 通讯设备&#xff0c;支…

STM32简介

STM32是ST公司基于ARM Cortex-M内核开发的32位微控制器&#xff0c;常应用在嵌入式领域如&#xff1a; 智能车&#xff08;用stm32做寻迹小车&#xff0c;读取光电传感器或者摄像头数据&#xff0c;然后驱动电机前进和转弯&#xff09;&#xff1b; 无人机&#xff08;用stm3…

STM32_DMA_多通道采集ADC出现错位现象

STM32_DMA_多通道采集ADC出现错位现象 问题描述&#xff1a; adcSensorValue[0],adcSensorValue[3],adcSensorValue[6]… //存储通道1数据 adcSensorValue[1],adcSensorValue[4],adcSensorValue[7]… //存储通道2数据 adcSensorValue[2],adcSensorValue[5],adcSensorValue[8]……

STM32 CubeMX PWM三种模式(互补,死区互补,普通)(HAL库)

STM32 CubeMX PWM两种模式&#xff08;HAL库&#xff09; STM32 CubeMX STM32 CubeMX PWM两种模式&#xff08;HAL库&#xff09;一、互补对称输出STM32 CubeMX设置代码部分 二、带死区互补模式STM32 CubeMX设置代码 三、普通模式STM32 CubeMX设置代码部分 总结 一、互补对称输…

STM32 之 HAL 库串口 USART 丢数据及ORE卡死的解决方案

STM32 之 HAL 库串口 USART 丢数据及ORE卡死的解决方案_hal_uart_error_ore-CSDN博客

STM32 低功耗模式

STM32 低功耗模式 注意事项 烧录程序 芯片在3中低功耗模式下&#xff0c;是没法直接再下载程序的&#xff0c;如果直接点击下载&#xff0c;就会提示报错&#xff0c;这是因为芯片现在在睡眠&#xff0c;不会理调试端口&#xff0c;解决方法也很简单 第一步&#xff0c;按住…

STM32-UART-DMA HAL库缓冲收发

文章目录 1、说明1.1、注意事项&#xff1a;1.2、接收部分1.3、发送部分 2、代码2.1、初始化2.2、缓冲接收2.3、缓冲发送2.4、格式化打印 1、说明 1.1、注意事项&#xff1a; HAL库的DMA底层基本都会默认开启中断使能&#xff0c;如果在STM32CubeMx禁用了中断相关的功能&…

嵌入式科普(5)ARM GNU Toolchain相关概念和逻辑

一、目的/概述 二、资料来源 三、逻辑和包含关系 四、Arm GNU Toolchain最常用的命令 嵌入式科普(5)ARM GNU Toolchain相关概念和逻辑 一、目的/概述 对比高集成度的IDE(MDK、IAR等)&#xff0c;Linux开发需要自己写Makefile等多种脚本。eclipse、Visual Studio等需要了解预处…

【RTOS学习】源码分析(通用队列 队列 队列集)

&#x1f431;作者&#xff1a;一只大喵咪1201 &#x1f431;专栏&#xff1a;《RTOS学习》 &#x1f525;格言&#xff1a;你只管努力&#xff0c;剩下的交给时间&#xff01; 前面本喵讲解了和任务相关的FreeRTOS源码&#xff0c;进行再来介绍一下用于任务间通信的几种数据结…

STM32——串口通信应用篇

一、引言 STM32微控制器是一款功能强大的嵌入式系统芯片&#xff0c;广泛应用于各种领域。其中&#xff0c;串口通信是其重要功能之一&#xff0c;可用于与外部设备进行数据交换和控制。本文将介绍STM32串口通信的基本原理、应用场景以及实现方法。 二、STM32串口通信基本原理 …

嵌入式-Stm32-江科大基于标准库的GPIO的八种模式

文章目录 一&#xff1a;GPIO输入输出原理二&#xff1a;GPIO基本结构三&#xff1a;GPIO位结构四&#xff1a;GPIO的八种模式道友&#xff1a;相信别人&#xff0c;更要一百倍地相信自己。 &#xff08;推荐先看文章&#xff1a;《 嵌入式-32单片机-GPIO推挽输出和开漏输出》…

字符设备驱动开发-注册-设备文件创建

一、字符设备驱动 linux系统中一切皆文件 1、应用层&#xff1a; APP1 APP2 ... fd open("led驱动的文件"&#xff0c;O_RDWR); read(fd); write(); close(); 2、内核层&#xff1a; 对灯写一个驱动 led_driver.c driver_open(); driver_read(); driver_write(…

STM32的以太网外设+PHY(LAN8720)使用详解(6):以太网数据接收及发送

0 工具准备 1.野火 stm32f407霸天虎开发板 2.LAN8720数据手册 3.STM32F4xx中文参考手册1 以太网数据接收及发送 1.1 以太网数据接收&#xff08;轮询&#xff09; 1.1.1 检查是否接收到一帧完整报文 使用轮询的方式接收以太网数据是一种简单但是效率低下的方法&#xff0c;…

嵌入式-stm32-用PWM点亮LED实现呼吸灯

一&#xff1a;知识前置 1.1、LED灯怎么才能亮&#xff1f; 答&#xff1a;LED需要低电平才能亮&#xff0c;高电平是灯灭。 1.2、LED灯为什么可以越来越亮&#xff0c;越来越暗&#xff1f; 答&#xff1a;这是用到不同占空比来实现的&#xff0c;控制LED实现呼吸灯&…

普中STM32-PZ6806L开发板(使用过程中的问题收集)

Keil使用ST-Link 报错 Internal command error 描述: 在某一次使用过程中&#xff0c;前面都是正常使用, Keil在烧录时报错Internal command error, 试了网上的诸多方式, 例如 升级固件;ST-Link Utility 清除;Keil升级到最新版本;甚至笔者板子的Micro头也换了&#xff0c;因为坏…

stm32内存分配博客笔记

原文&#xff1a; stm32内存分配 笔记&#xff1a; 1、向量表与代码段&#xff1b;根据Cortex-M3权威指南描述&#xff0c;系统复位后&#xff0c;在向量表异常0处保存的是堆栈起始地址&#xff0c;而后紧跟中断向量表 2、可以从链接脚本.ld文件中看到终端向量表第一个被链接…

STM32(Cortex-M) 双堆栈MSP/PSP科普

https://blog.csdn.net/Guet_Kite/article/details/76020069 https://blog.csdn.net/zhuimeng_ruili/article/details/118163303 MSP/PSP是什么?作用&#xff1f; MSP主堆栈指针&#xff0c;PSP进程堆栈指针。 MSP&#xff1a;复位后缺省使用的堆栈指针&#xff0c;用于操作…

人形机器人创新发展顶层设计与关键技术布局

系列文章目录 前言 随着新一轮科技革命和产业变革的深入推进&#xff0c;我国高度重视人形机器人的创新发展&#xff0c;提出了一系列具有前瞻性和战略性的指导意见。规划指出&#xff0c;到2025年&#xff0c;我国将初步建立人形机器人创新体系&#xff0c;攻克“大脑”、“小…

基于stm32的智慧家庭健康医疗系统设计

标题&#xff1a;基于STM32的智慧家庭健康医疗系统设计 摘要&#xff1a; 随着人们生活水平的提高和健康意识的增强&#xff0c;智慧家庭健康医疗系统成为了当前研究的热点之一。本论文旨在设计并实现一种基于STM32的智慧家庭健康医疗系统&#xff0c;该系统能够监测和管理家庭…

【江科大】STM32:DMA转运

DMA 直接存储器存取&#xff08;协助CPU完成数据转运&#xff0c;可以直接访问32位内部存储器&#xff0c;内存SRAM&#xff0c;程序存储器Flash&#xff0c;寄存器等&#xff09; DMA可以提供外设和存储器或者存储器和存储器之间的高速数据传输&#xff0c;无须CPU干预&#…

HAL STM32+EC11编码器实现增减调节及单击、双击、长按功能

HAL STM32EC11编码器实现增减调节及单击、双击、长按功能 &#x1f4fa;实现效果演示&#xff1a; &#x1f4d8;内容提要 &#x1f4dd;本文主要实现&#xff0c;通过STM32 HAL库开发&#xff0c;实现的EC11编码器功能&#xff0c;按键结合状态机思想实现的拓展单击、双击、…

【STM32】HAL库的STOP低功耗模式UART串口唤醒,第一个接收字节出错的问题(已解决)

【STM32】HAL库的STOP低功耗模式UART串口唤醒&#xff0c;第一个接收字节出错的问题&#xff08;已解决&#xff09; 文章目录 BUG复现调试代码推测原因及改进方案尝试中断时钟供电外设唤醒方式校验码硬件问题 切换到STOP0模式尝试结论和猜想解决方案附录&#xff1a;Cortex-M…

Pixart PAR2861 蓝牙 keyboard 开发笔记

Pixart PAR2861 是一款采用32 bits ARM Cortex-M0 低功耗、高效能 2.4GHz RF 的 SoC。 该 SoC 整合了高效能的 2.4GHz RF 收发器、硬体Keyscan、硬体按键防弹跳、SPI、I2C、PWM LED、ADC、UART等。内建 DC/DC 转换器和 LDO 为独立 HID 应用提供完整的低功耗 SoC 解决方案。 1.…

RT-Thread:STM32实时时钟 RTC开启及应用

说明&#xff1a;STM32F103/407系列基于 RT-Thread 系统的 RTC 开启及应用 应用流程介绍。 1. RTC功能开启 1.1 开启系统RTC驱动 1.2 打开系统RTC相关的宏 1.3 打开库函数 RTC 相关的宏 完成以上系统配置&#xff0c;编译无误情况下RTC 就已经开启了。 2. RTC 应用 官方 AP…

STM32 基础知识(探索者开发板)--159讲 CAN总线

CAN基础知识&#xff1a;ISO国际标准化的串行通信协议&#xff0c;为了减少线束的数量 CAN特点&#xff1a; a.多主控制 每个设备都可以主动发送数据 b.通信速度较快,通信距离远。最高1Mbps(距离小于40M),最远可达10KM(速率低于5Kbps) c.具有错误检测、错误通知和错误恢复…

STM32——ADC知识总结及多通道采样实验

1.ADC概念 ADC&#xff0c;全称&#xff1a;Analog-to-Digital Converter&#xff0c;指模拟/数字转换器 2 STM32各系列ADC的主要特性 3.F4框图 4.转换序列与转换时间 A/D转换被组织为两组&#xff1a;规则组&#xff08;常规转换组&#xff09;和注入组&#xff08;注入…

【驱动】I2C驱动分析(五)-模拟I2C驱动

在drivers/i2c/busses下包含各种I2C总线驱动&#xff0c;使用GPIO模拟I2C总线的驱动i2c-gpio.c&#xff0c;这里只分析i2c-gpio.c。 i2c-gpio.c它是gpio模拟I2C总线的驱动&#xff0c;总线也是个设备&#xff0c;在这里将总线当作平台设备处理&#xff0c;那驱动当然是平台设备…

STM32(--001) Win10、Win11 上的驱动安装说明

一、USB线插到 CMSIS-DAP 接口上&#xff0c;将自动识别到两个设备 ① CMSIS-DAP&#xff1a;用于烧录代码、在线硬件仿真; 在Keil里烧录&#xff0c;无需通过FlyMCU; ② USB转TTL&#xff1a;用于开发板与电脑间串口通信 &#xff0c;即USART1, TX-PA9、RX-PA10; 接口备注&a…

【江科大】STM32:TIM输入捕获(理论部分)

文章目录 IC&#xff08;Input Capture&#xff09;输入捕获PWM频率 知识点补充1. 滤波器的工作原理&#xff1a;2. 边沿检测器&#xff1a;自动化清零CNT输入捕获的基本结构PWMI基本结构滤波器和分频器的区别误差分析pwm.cmain.cIC.c PWM模式测频率和占空比 IC&#xff08;Inp…

20.2 FMC驱动SDRAM的时序初始化实现及内存测试

继续上一篇的话题&#xff0c;写到SDRAM通过CubeMx配置后&#xff0c;在工程代码编写时直接引用的是我事先写好的时序初始化、内存测试文件&#xff0c;而未对其进行详细的解释&#xff0c;所以本篇文章就来娓娓道来。不多说&#xff0c;开始吧 SDRAM的初始化流程简述 SDRAM初…

华大芯片HC32L1110的DDL

1,串口的初始化和使用 void Uart0_Init(void) {uint16_t timer0;uint32_t pclk0;stc_uart_config_t stcConfig;//定义串口结构体stc_uart_irq_cb_t stcUartIrqCb;//串口中断回调函数结构体stc_uart_multimode_t stcMulti;//串口多主机模式结构体stc_uart_baud_config_t stcBa…

STM32 裸机编程 04 - Makefile 构建自动化

Makefile&#xff1a;构建自动化 我们可以用 make 命令行工具替代手动敲入“编译”、“链接”、“烧写”这些命令&#xff0c;自动完成整个过程。make 工具使用一个名为 Makefile 的配置文件&#xff0c;从中读取执行动作的指令。这种自动化方式非常棒&#xff0c;因为这样可以…

记录单片机编码的坑

问题描述 在使用clion调试过程中,发现使用 mbstowcs函数转换后的数组仍为原数组 因而单片机中不能直接将ascii码(此处为编写代码的格式,例如GBK格式)转换为Unicode格式,这个Bug先记录下来,后续解决了再贴上方法!

稚晖君项目复刻:L-ink门禁卡(1)——环境搭建与第一个项目创建

行文目录 前言其他文章正文开始STM32CubeMX安装STM32CubeMX安装L0的固件支持包Clion安装OpenOCD安装MinGw安装arm-none-eabi-gcc安装Clion配置 创建STM32工程创建STM32CubeMX工程更改芯片型号 参考文献 前言 其实关于稚晖君的L-ink门禁卡在我本科阶段就已经刷过好几次了&#x…

51单片机之串口通信例程

51单片机之串口通信例程 简介原理例程 简介 串行通信是指使用一条数据线&#xff0c;将数据一位一位地依次传输&#xff0c;每一位数据占据一个固定的时间长度。在串行通信中&#xff0c;数据可以以字符为单位进行传输&#xff0c;也可以以帧为单位进行传输。 在51单片机中&a…

STM32成熟变频逆变器方案

该方案是一款成熟的变频逆变器的方案&#xff0c;主要是把电源从直流到3相交流的转换&#xff0c;包含变频控制板&#xff0c;逆变主板&#xff0c;IO板&#xff0c;变频控制板主控是STM32F103VET6&#xff0c;配套软件。每一块板子都是原理图和PCB一一对应&#xff0c;并且配套…

第9期ThreadX视频教程:自制个微秒分辨率任务调度实现方案(2023-10-11)

视频教程汇总帖&#xff1a;【学以致用&#xff0c;授人以渔】2023视频教程汇总&#xff0c;DSP第12期&#xff0c;ThreadX第9期&#xff0c;BSP驱动第26期&#xff0c;USB实战第5期&#xff0c;GUI实战第3期&#xff08;2023-10-11&#xff09; - STM32F429 - 硬汉嵌入式论坛 …

STM32 BootLoader设置

编写bootloader程序&#xff1a; 直接复制下面代码到自己程序中。 typedef void (*iapfun)(void); //定义一个函数类型的参数. iapfun jump2app; //设置栈顶地址 //addr:栈顶地址 __asm void MSR_MSP(u32 addr) {MSR MSP, r0 //set Main Stack valueBX r14 }//跳转到…

三、C语言常用运算符

1、算术运算符 符号说明加号-减号*乘号/除号%取余符号&#xff0c;相除以后余数是几自增运算符&#xff0c;整数值增加 1--自减运算符&#xff0c;整数值减少1 2、关系运算符 符号说明检查两个操作数的值是否相等&#xff0c;如果相等则条件为真。!检查两个操作数的值是否相…

STM32F4使用ucosii时操作浮点数卡死的问题

STM32F4使用ucosii时操作浮点数卡死的问题_stm32 fpu float 程序跑不起来_shou撕代码的博客-CSDN博客

STM32 ---- 再次学习STM32F103C8T6/STM32F409IGT6

目录 一、环境搭建及介绍 关于STM32基础介绍 新建工程 外设案例 LED流水灯 蜂鸣器 上拉电阻和下拉电阻知识 电压比较器 c语言基础知识 类型、结构体、枚举 类型int8_t int16_t int32_t 宏替换 #define 和typedef用法 结构体两种填充方法 和 命名规则 枚举用法 常用…

扒一扒集成运放uA741的内部电路

uA741是一款常见的集成运放芯片,这个是uA741的内部电路。 Q1与Q2组成的差动对是整个741运算放大器的输入端,这两个三极管是射极跟随器的连接方式,特点是输入电阻大,输出电阻小。 Q1和Q2的输出接至共基极组态的PNP晶体管Q3和Q4

STM32--WDG看门狗

文章目录 WDG简介IWDGIWDG的超时计算WWDGWWDG超时和窗口值设定独立看门狗工程WWDG工程 WDG简介 WDG看门狗&#xff08;Watchdog Timer&#xff09;是一种常见的硬件设备&#xff0c;在STM32F10系列中&#xff0c;有两种看门狗&#xff0c;分别是独立看门狗和窗口看门狗&#x…

STM32——创建HAL库工程

第一步 新建工程文件夹 所有文件夹存放的内容&#xff1a; 第二步 拷贝/新建工程相关文件 1、Drivers&#xff08;创建如下文件夹&#xff0c;除readme.txt&#xff09; 文件夹存放的内容&#xff1a; 2、Middlewares和output暂时不需要创建&#xff08;目前用不到&#x…

基于RT-Thread的NRF24L01无线通信模块——点对点通信实验

前言 本文建立在成功使用RTT的SPI设备与NRF24L01模块建立通信联系&#xff0c;即成功对NRF24L01模块进行在位检测&#xff0c; 可参考这篇文章 RT-Thread(RTT)之SPI设备使用&#xff08;以驱动nrf24l01模块为例&#xff09; 发射端代码编写 1、首先是NRF的操作命令&#xff…

STM32完全学习手册

1. 了解STM32微控制器 了解STM32系列&#xff1a; 了解STM32系列微控制器的不同型号和系列&#xff0c;以及它们的特点和应用领域。 学习基础理论知识&#xff1a; 学习嵌入式系统和微控制器&#xff08;ARM)的基础知识&#xff0c;包括处理器架构、外设、时钟和中断等。 2.…

CubeMX生成工程文件夹解释

使用CubeMXKeil的工程&#xff0c;物理文件夹结构如下&#xff1a; 文件夹、文件&#xff0c;众多&#xff0c;但我们平时使用到的&#xff0c;主要是两个入口文件&#xff0c;即以下的&#xff1a;1和2. 1、***.uvprojx 位置&#xff1a;工程目录\MDK-ART文件夹下。 Keil的工…

如何使用数据恢复软件恢复已删除的文件

在计算机时代之前&#xff0c;数据一直以物理方式存储在纸张上。然后通过收集论文&#xff0c;创建了一个大数据库。收集到的论文存放在大房间或数据收集中心。笔和纸系统的一个很大的缺点是&#xff0c;如果你想找到特定的数据&#xff0c;就像在沙子里找到一根针一样。 计算…

适用于 Mac 的 9 款最佳数据恢复软件列表

查看 2024 年 Mac 最佳数据恢复软件的完整列表&#xff0c;并随时恢复您想要的任何类型的数据。 “我们只是人类&#xff0c;我们应该犯错误”。这句话适用于生活的各个方面。错误是会发生的&#xff0c;正是错误使你成为人。 您可以使用 Mac 在线传输内容、上网、工作、玩游…

K210基础实验——点亮LED灯

一、目的是点亮K210开发板左下角的LED0和LED1&#xff0c;LED0是红灯&#xff0c;LED1是绿灯&#xff0c;两颗LED灯都是低电平点亮&#xff0c;高电平熄灭。 二、这是原理图上的硬件连接&#xff0c;LED0连接的是IO0&#xff0c;LED1连接的是IO17。 三、在src目录下新建文件夹 …

嵌入式开发-STM32CUBEMX使用—基于STM32G431RBTx

嵌入式–基于STM32G431RBTX 1.利用STM32CUBEMX生成工程框架 2.利用STM32CUBEMX生成初始化代码文件 创建文件 选择外晶振 Clock Configuration配置 按如下数据配置 Project Manager配置 Code Generator 在进行如上配置后即可生成 运行 在运行前需要把启动文件加入Applicati…

【STM32】STM32学习笔记-BKP备份寄存器和RTC实时时钟(42)

00. 目录 文章目录 00. 目录01. BKP简介02. BKP特性03. BKP基本结构04. RTC简介05. RTC主要特性06. RTC框图07. RTC基本结构08. 硬件电路09. RTC操作注意事项10. 附录 01. BKP简介 备份寄存器是42个16位的寄存器&#xff0c;可用来存储84个字节的用户应用程序数据。他们处在备…

STM32学习7 按键扫描

STM32学习7 按键扫描 一、实验电路介绍二、按键GPIO初始化三、扫描原理1. GPIO引脚配置2. 状态轮询3. 按键状态检测4. 循环扫描的优缺点优点&#xff1a;缺点&#xff1a; 四、一次扫描与持续扫描五、代码实现1. 头文件定义2. 函数实现3. 主体函数 一、实验电路介绍 本实验使用…

江科大stm32学习笔记6——GPIO输入准备

一、按键消抖 由于按键内部使用的是机械式弹簧片&#xff0c;所以在按下和松开时会产生5~10ms的抖动&#xff0c;需要通过代码来进行消抖。 二、滤波电容 在电路中&#xff0c;如果见到一端接在电路中&#xff0c;一端接地的电容&#xff0c;则可以考虑它的作用为滤波电容&am…

【智能家居入门之微信小程序控制下位机】(STM32、ONENET云平台、微信小程序、HTTP协议)

实现微信小程序控制单片机外设动作 一、使用ONENET可视化组件控制单片机外设动作二、使用微信小程序控制单片机外设动作三、总结 本篇博客话接上文&#xff1a; https://blog.csdn.net/m0_71523511/article/details/135892908 上一篇博客实现了微信小程序接收单片机上传的数据…

Stm32_标准库_10_TIM_显示时间日期

利用TIM计数耗费1s,启动中断&#xff0c;秒表加一 时间显示代码&#xff1a; #include "stm32f10x.h" // Device header #include "Delay.h" #include "OLED.h"uint16_t num 0; TIM_TimeBaseInitTypeDef TIM_TimeBaseInitStructure; NVIC_I…

04 hal cube 串口配置经供参考

1. cube 串口配置 2.中断配置 3.重定向 printf /* USER CODE BEGIN 1 */#pragma import(__use_no_semihosting) 标准库需要的支持函数 struct __FILE { int handle; }; FILE __stdout; //定义_sys_exit()以避免使用半主机模式 void …

02 stm32-hal库 timer 基本定时器设定

1.配置始终时钟参数 >2. 初始化 MX_TIM3_Init();/* USER CODE BEGIN 2 */HAL_TIM_Base_Start_IT(&htim3);> 3.增加回调函数 4 中断服务函数 void TIM3_IRQHandler(void) {/* USER CODE BEGIN TIM3_IRQn 0 *//* USER CODE END TIM3_IRQn 0 */HAL_TIM_IRQHandler(&…

【特纳斯电子】基于物联网的空气质量检测-仿真设计

视频及资料链接&#xff1a;基于物联网的空气质量检测-仿真设计 - 电子校园网 (mcude.com) 编号&#xff1a; T0082203M-FZ 设计简介&#xff1a; 本设计是基于物联网的空气质量检测系统&#xff0c;主要实现以下功能&#xff1a; 1.通过OLED显示模式、温度、湿度、PM2.5、…

10.12按键中断

设置按键中断&#xff0c;按键1按下&#xff0c;LED亮&#xff0c;再按一次&#xff0c;灭 按键2按下&#xff0c;蜂鸣器响。再按一次&#xff0c;不响 按键3按下&#xff0c;风扇转&#xff0c;再按一次&#xff0c;风扇停 keyit.h: #ifndef __KEYIT_H__ #define __KEYIT_…

STM32硬件IIC卡死问题和DMA发送数据异常问题

STM32硬件IIC卡死问题和DMA发送数据异常问题 问题1描述&#xff1a; 一直听说STM32的硬件IIC有问题&#xff0c;我平时做项目一直没有遇到过&#xff0c;这次做项目发现硬件IIC居然会自己卡死&#xff0c;现象就是IIC发不出数据&#xff0c;用逻辑分析仪捕捉不到任何电平&#…

STM32CUBEMX_DMA串口空闲中断接收+接收发送缓冲区

STM32CUBEMX_DMA串口空闲中断接收接收发送缓冲区 前言&#xff1a; 我了解的串口接收指令的方式有&#xff1a;在这里插入图片描述 1、接收数据中断特定帧尾 2、接收数据中断空闲中断 3、DMA接收空闲中断 我最推荐第三种&#xff0c;尤其是数据量比较大且频繁的时候 串口配置 …

正点原子嵌入式linux驱动开发——新字符设备驱动实验

经过之前两篇笔记的实战操作&#xff0c;已经掌握了Linux字符设备驱动开发的基本步骤&#xff0c;字符设备驱动开发重点是使用register_chrdev函数注册字符设备&#xff0c;当不再使用设备的时候就使用unregister_chrdev函数注销字符设备&#xff0c;驱动模块加载成功以后还需要…

STM32如何使用中断?

一&#xff1a;EXTI 简介 STM32F10x 外部中断/事件控制器&#xff08;EXTI&#xff09;包含多达 20 个用于产生事件/中断请求的边沿检测器。 EXTI 的每根输入线都可单独进行配置&#xff0c;以选择类型&#xff08;中断或事件&#xff09;和相应的触发事件&#xff08;上升 沿触…

初识zephyr-rtos

平台介绍:(以下仅为我自己的配置,实际支持多种平台,windows的话虽然可以支持,但还是建议在wsl上进行相关开发学习) 系统:ubuntu 22.04开发板:nucleo_f401re$ python3 --version Python 3.10.12cmake --version cmake version 3.22.1dtc --version Version: DTC 1.6.1目…

STM32:TIM通道输入捕获

本文主要讲解如何使用TIMER通道的输入脉冲捕获功能。基于STM32F7的Timer2 Channel3来进行讲解。 配置时钟 Timer2的时钟频率&#xff0c;对应APB1 Timer。 分频设置为96-1&#xff0c;这样设置每次count计数&#xff0c;对应的时间为1us。Counter设置为最大即可&#xff0c;默…

STM32基于HAL库RT-Thread Demo测试

STM32基于HAL库RT-Thread Demo测试 &#x1f388;源码地址&#xff1a;https://github.com/RT-Thread/rt-thread/tree/master&#x1f4cc;基于STM32CUBEMX中间件安装《基于 CubeMX 移植 RT-Thread Nano》&#x1f4cd;环境搭建《使用 Env 创建 RT-Thread 项目工程》&#x1f5…

【智能家居入门2】(MQTT协议、微信小程序、STM32、ONENET云平台)

此篇智能家居入门与前两篇类似&#xff0c;但是是使用MQTT协议接入ONENET云平台&#xff0c;实现微信小程序与下位机的通信&#xff0c;这里相较于使用http协议的那两篇博客&#xff0c;在主程序中添加了独立看门狗防止程序卡死和服务器掉线问题。后续还有使用MQTT协议连接MQTT…

【哈希】哈希表|除留取余法|哈希冲突(拉链法interv)|一致性哈希|虚拟节点

哈希 hash 散列函数&#xff08;将非常大范围的输入&#xff0c;通过一定的函数&#xff0c;可以转换到小规模的输出&#xff09; 什么是哈希&#xff1f; 广义上是一种思想&#xff0c;不是一个特定的东西&#xff0c;只要算法设计中使用到了哈希思想&#xff0c;就可以叫…

物联网中基于WIFI的室内温度检测系统设计

标题:物联网中基于WIFI的室内温度检测系统设计 摘要 随着物联网技术的快速发展,智能家居环境监测系统成为研究热点之一。本论文旨在设计并实现一个基于Wi-Fi的室内温度检测系统,用于实时监控和调节家庭或办公环境中的温度条件。该系统利用Wi-Fi信号的特性进行温度感知,不…

STM32学习笔记(四) —— 位段别名区的使用

STM32F103RCT6有两个位段区 (SRAM 最低1M空间和片内外设存储区最低1M空间)&#xff0c; 这两个区域都有各自的别名区&#xff0c;在别名区中每个字会映射到位段区的一个位&#xff0c;所以在别名区修改一个字相当于修改位段区中对应的一个位 映射公式( 别名区中的字与位段区中的…

STM32 HAL库 SysTick->VAL和SysTick->LOAD 实现us延时

本文使用HAL库和STM32CubeMX配置时钟&#xff0c;使用 SysTick->LOAD 和 SysTick->VAL 来实现延时us和延时ms M3内核中&#xff0c;SysTick计数器是24位递减计数器 计数范围2^24-1 到 0 &#xff0c;然后重装载寄存器的值给SysTick计数器&#xff0c;重复下去 每来一个…

STM32F407移植OpenHarmony笔记5

继上一篇笔记&#xff0c;搭建好STM32的编译框架&#xff0c;编译出来的OHOS_Image.bin并不能跑不起来。 今天要在bsp里面添加一些代码&#xff0c;让程序能跑起来。 先从裸机点亮LED灯开始&#xff0c;准备以下3个文件:startup和system文件可以用OHOS官方代码。 /device/boar…

STM32 IIC电量计LTC2944

1 描述 LTC2944 可在便携式产品应用中测量电池充电状态、电池电压、电池电流及其自身温度。宽输入电压范围允许使用高达 60V 的多节电池。精密库仑反向积分电流通过电池正极端子与负载或充电器之间的检测电阻器。 电压、电流和温度由内部 14 位无延迟 ΔΣ™ ADC 测量。测量结…

STM32 E18-D80NK红外避障传感器

E18-D80NK-N是一款红外光电传感器&#xff0c;它同时具备发射和接收功能。通过对发射光进行调制后发出&#xff0c;并通过接收头对反射光进行解调输出。 E18-D80NK-N采用了透镜来增强传感器的性能&#xff0c;使其能够检测更远的距离。根据红外光的特性&#xff0c;不同颜色的…

STM32各外设初始化步骤

1、GPIO初始化步骤 1、使能GPIO时钟 2、初始化GPIO的输入/输出模式 3、设置GPIO的输出值或获取GPIO的输入值 GPIO_InitTypeDef GPIO_InitStruct;RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA,ENABLE);GPIO_InitStruct.GPIO_Mode GPIO_Mode_Out_PP; GPIO_InitStruct.GPIO_Pin…

基于STM32F103C8的宠物喂食系统设计

在撰写一篇关于基于STM32F103C8的宠物喂食系统设计的论文时&#xff0c;您需要遵循一般的科技论文结构&#xff0c;并针对您的项目提供详细的信息。以下是一个概要性的指南&#xff0c;用于帮助您组织和撰写这篇论文&#xff1a; **标题&#xff1a;** 基于STM32F103C8的智能宠…

正点原子--STM32定时器学习笔记(1)

这部分是笔者对基本定时器的理论知识进行学习与总结&#xff01;&#xff0c;主要记录自己在学习过程中遇到的重难点&#xff0c;其他一些基础点就一笔带过了&#xff01; 1. 定时器概述 1.1 软件定时原理 使用纯软件&#xff08;CPU死等&#xff09;的方式实现定时&#xf…

STM32_CAN调试模式解释:静默、回环、静默回环

当我们使用STM32的CAN&#xff0c;在与总线正常通信时&#xff0c;使用&#xff1a;正常模式 【 Normal 】; 而在程序的编写、调试阶段&#xff0c;它提供了以下3种调试模式&#xff0c;以方便进行调试&#xff1a; 一、回环模式 【 LoopBack 】 发送有效&#xff1a;数据能…

STM32L4学习

STM32L4系列是围绕Cortex-M4构建&#xff0c;具有FPU和DSP指令集&#xff0c;主频高达80MHz。 STM32CubeL4简介 STM32Cube 是 ST 提供的一套性能强大的免费开发工具和嵌入式软件模块&#xff0c;能够让开发人员在 STM32 平台上快速、轻松地开发应用。它包含两个关键部分&…

STM32如何使用PWM?

一&#xff1a;PWM介绍 PWM 是 Pulse Width Modulation 的缩写&#xff0c;中文意思就是脉冲宽度调制&#xff0c;简 称脉宽调制。它是利用微处理器的数字输出来对模拟电路进行控制的一种非常有 效的技术&#xff0c;其控制简单、灵活和动态响应好等优点而成为电力电子技术最广…

正点原子嵌入式linux驱动开发——RGB转HDMI

目前大多数的显示器都提供了HDMI接口&#xff0c;HDMI的应用范围也越来越广&#xff0c;但是STM32MP157这颗芯片原生并不支持HDMI显示。可以通过RGB转HDMI芯片将RGB信号转为HDMI信号&#xff0c;这样就可以连接HDMI显示器了。本章就来学习一下如何在正点原子的STM32MP1开发板上…

Aocoda-RC F405V2 FC(STM32F405RGT6 v.s. AT32F435RGT7) IO Definitions

[TOC](Aocoda-RC F405V2 FC(STM32F405RGT6 v.s. AT32F435RGT7) IO Definitions) 1. 源由 Aocoda-RC F405V2飞控支持betaflight/inav/Ardupilot固件&#xff0c;是一款固件兼容性非常不错的开源硬件。 之前我们对比过STM32F405RGT6 v.s. AT32F435RGT7 Comparison for Flight …

typedef __packed struct 中的__packed意义

typedef __packed struct 是C语言中用于定义紧凑结构体的语法。__packed关键字用于告诉编译器不要为结构体成员添加填充字节&#xff0c;从而使得结构体的大小更加紧凑。typedef关键字用于为数据类型定义一个新的名称。 下面是一个使用__packed和typedef的示例代码&#xff1a…

单舵轮AGV里程计算公式

单舵轮AGV里程计算公式 编码器反馈值 * 周长/&#xff08;减速比 * 时间ms) 例&#xff1a;假设行走轮在不打滑的情况下&#xff0c;轮半径为116mm&#xff0c;行走电机编码器1A6反馈值为1145&#xff0c;减速比为27.84&#xff0c;带入公式可得&#xff1a; 1145 * &#xf…

【STM32】HAL库ADC多通道精准测量(采用VREFINT内部参考电压)

【STM32】HAL库ADC多通道精准测量&#xff08;采用VREFINT内部参考电压&#xff09; 文章目录 多通道测量VREFINTADC采样周期多通道配置 附录&#xff1a;Cortex-M架构的SysTick系统定时器精准延时和MCU位带操作SysTick系统定时器精准延时延时函数阻塞延时非阻塞延时 位带操作…

STM32项目工程的搭建

视频连接&#xff1a; [2-1]--入门 新建工程 及 工程搭建 -------------_哔哩哔哩_bilibili 1.STM32开发方式 1.标志库 表示直接调用已经写好的程序&#xff0c;通过类似于函数调用直接使用即可。 2.HAL库 程序员自己手动的操作寄存器进行编程 2.工程模板 3.搭建工程的步骤 1…

【Proteus仿真】【STM32单片机】电蒸锅温度控制系统

文章目录 一、功能简介二、软件设计三、实验现象联系作者 一、功能简介 本项目使用Proteus8仿真STM32单片机控制器&#xff0c;使用LCD1602液晶、按键开关、蜂鸣器、DS18B20温度传感器&#xff0c;液位传感器、继电器控制加热保温装置等。 主要功能&#xff1a; 系统运行后&am…

【嵌入式C】计算机中大小端的认识

简单来说 大端符合人类的习惯 MSB LSB 单片机中我们经常会看到&#xff0c;MSB先行&#xff0c;LSB先行之类的描述。 Most Significant Bit&#xff0c; Last&#xff08;Least&#xff09; Significant Bit 高有效位 低有效位 对于一个1来说&#xff0c;放在百位上的1比放…

正点原子嵌入式linux驱动开发——Linux设备树

在前面系统移植的过程中多次提到“设备树”这个概念和创建自己的设备树。但是并没有在TF-A和uboot里说设备树的原理&#xff0c;因为相对比较复杂。 本章就来详细的谈一谈设备树。掌握设备树是Linux驱动开发人员必备的技能&#xff01;因为在新版本的Linux中&#xff0c;ARM相…

STM32Cube高效开发教程<基础篇>(六)----FSMC连接TFT-LCD屏

声明:本人水平有限,博客可能存在部分错误的地方,请广大读者谅解并向本人反馈错误。    本专栏博客参考《STM32Cube高效开发教程(基础篇)》,有意向的读者可以购买正版书籍辅助学习,本书籍由王维波老师、鄢志丹老师、王钊老师倾力打造,书籍内容干货满满。 一、 FSMC连接…

stm32f103使用PVD监测掉电、flash擦写与读取

文章背景&#xff1a; 擦写数据在很多产品上都有应用&#xff0c;比如简单的电子吹风筒上面&#xff0c;记录用户每次的风速档和温度档习惯&#xff0c;下次开机用户则不需要再次去选择自己习惯的档位&#xff0c;提升产品智能度&#xff0c;擦写数据不一定要在断电瞬间&#…

正点原子--STM32通用定时器学习笔记(2)

1. 通用定时器输入捕获部分框图介绍 捕获/比较通道的输入部分&#xff08;通道1&#xff09; 采样频率&#xff1a;控制寄存器 1(TIMx_CR1)的CKD[1:0] ⬇⬇⬇​​​​​​​滤波方式选择&#xff1a; 捕获/ 比较模式寄存器 1(TIMx_CCMR1)的输入捕获部分⬇​​​​​​​⬇​…

32DMA数据转运

目录 一.简介 二.DMA结构框图 三.测试 四.相关函数 五.代码实现 &#xff08;1&#xff09;存储器到存储器数据转运 (2)ADC单次扫描 &#xff08;3&#xff09;ADC连续扫描 一.简介 DMA外设是可以直接访问32内部的存储器的&#xff0c;包括运行内存SRAM&#xff0c;程序…

【STM32】STM32学习笔记-独立看门狗和窗口看门狗(47)

00. 目录 文章目录 00. 目录01. WDG概述02. 独立看门狗相关API2.1 IWDG_WriteAccessCmd2.2 IWDG_SetPrescaler2.3 IWDG_SetReload2.4 IWDG_ReloadCounter2.5 IWDG_Enable2.6 IWDG_GetFlagStatus2.7 RCC_GetFlagStatus 03. 独立看门狗接线图04. 独立看门狗程序示例105. 独立看门…

蓝桥杯嵌入式第8届真题(完成) STM32G431

蓝桥杯嵌入式第8届真题(完成) STM32G431 题目 分析和代码 对比第六届和第七届&#xff0c;这届的题目在逻辑思维上确实要麻烦不少&#xff0c;可以从题目看出&#xff0c;这届题目对时间顺序的要求很严格&#xff0c;所以就可以使用状态机的思想来编程&#xff0c;拿到类似题…

STM32——FLASH(1)简单介绍、分类、读写流程及注意事项

文章目录 FLASH的特点Nor flash和nand flashflash的读写flash 的存储单位 flash的读写过程 FLASH的特点 可擦写数据可修改可重写访问速度<ROM Nor flash和nand flash Nor flash 1、与SDRAM相似&#xff0c;用户可以直接运行装载到NORFLASH里面的代码&#xff0c;减少SRAM…

fpga 需要掌握哪些基础知识?

个人根据自己的一些心得总结一下fpga 需要掌握的基础知识&#xff0c;希望对你有帮助。 1、数电&#xff08;必须掌握的基础&#xff09;&#xff0c;然后进阶学模电&#xff0c; 2、掌握HDL&#xff08;verilog或VHDL&#xff09;一般建议先学verilog&#xff0c;然后可以学…

蓝桥杯嵌入式第9届真题(完成) STM32G431

蓝桥杯嵌入式第9届真题(完成) STM32G431 题目 分析和代码 main.h /* USER CODE BEGIN Header */ /********************************************************************************* file : main.h* brief : Header for main.c file.* …

OLED显示红外遥控键码

基本原理 本遥控器的编码是NEC编码&#xff0c;为PWM&#xff08;脉冲宽度调制&#xff09;。 发射红外载波的时间固定&#xff0c;通过改变不发射载波的时间来改变占空比。 逻辑“0”是由0.56ms的38KHZ载波和0.560ms的无载波间隔组成&#xff1b;逻辑“1”是由0.56ms的38KHZ…

vscode 和 keil协同使用开发stm32程序,超详细教程

vscode 和 keil协同使用开发stm32程序 文章目录 vscode 和 keil协同使用开发stm32程序1. 安装vscode拓展安装chinese插件 2 .安装Mingw3.配置环境变量4. 打开Keil项目 VSCODE 是一款广受好评的代码编辑器&#xff0c; KEIL 是常用的嵌入式开发工具但编程界面简陋。 将两个工具…

【STM32 CubeMX】串口编程DMA

文章目录 前言一、DMA方式1.1 DMA是什么1.2 CubeMX配置DMA1.3 DMA方式函数使用DMA的发送接收函数 总结 前言 在嵌入式系统中&#xff0c;串口通信是一项至关重要的功能&#xff0c;它允许单片机与外部设备进行数据交换&#xff0c;如传感器、显示器或其他设备。然而&#xff0…

【STM32 CubeMX】SPI W25Q64功能实现

文章目录 前言一、内部函数的实现1.1 选中和取消选中SPI Flash1.2 写使能函数1.3 获取读状态1.4 等待就绪状态 二、Flash读写函数实现2.1 读Flash ID2.2 擦除某个扇区2.3 写扇区2.4 读数据 三、测试代码总结 前言 SPI Flash 存储器在嵌入式系统中扮演着重要角色&#xff0c;它…

有方机器人 STM32智能小车 项目学习笔记1

今天开始学习有方机器人--智能小车项目&#xff0c;正点原子部分的学习先放一放&#xff0c;还是小车更有吸引力哈哈。 新建工程及工程模板搭建 新建工程须知 目前常用的 STM32 的开发方式主要有基于寄存器编程、基于标准库函数编程、基于 HAL 库编程这三种。 寄存器版本--…

STM32使用WWDG窗口看门狗

1 WWDG 介绍 1.1 WWDG 简介 窗口看门狗 WWDG 其实和独立看门狗类似&#xff0c;它是一个 7 位递减计数器不断的往下递减计数&#xff0c; 当减到一个固定值 0X40 时还不喂狗的话&#xff0c;产生一个 MCU 复位&#xff0c;这个值叫窗口的下限&#xff0c;是固定的值&#xf…

STM32 IWDGWWDG

STM32 IWDG&WWDG 启动看门狗之后&#xff0c;看门狗是不能再被关闭的&#xff0c;除非发生复位。 IWDG独立看门狗 独立看门狗配置流程 开启LSI时钟&#xff0c;只有LSI时钟开启了&#xff0c;独立看门狗才能运行。 但是开启LSI的代码&#xff0c;并不需要我们来写&#xf…

模拟IIC通讯协议(stm32)(硬件iic后面在补)

一、IIC基础知识总结。 1、IIC通讯需要两条线就可以&#xff0c;SCL、SDA。 2、IIC的数据传输的速率&#xff0c;不同的ic是不同的&#xff0c;根据电平维持的延时函数的时间来确定IIC数据传输的速率. 3、IIC的延时函数可以使用延时函数&#xff0c;延时函数一般使用系统滴答时…

FreeRTOS最全教程(目录)

文章目录 前言一、为什么要学FreeRTOS二、FreeRTOS开发和裸机开发的区别三、FreeRTOS入门篇四、FreeRTOS深入篇五、自制FreeRTOS六、FreeRTOS项目开发总结 前言 本篇文章将作为FreeRTOS最全教程的目录使用。 一、为什么要学FreeRTOS 1.嵌入式系统开发&#xff1a; FreeRTOS …

最详细STM32,cubeMX外部中断

这篇文章将详细介绍 cubeMX外部中断的配置&#xff0c;实现过程。 文章目录 前言一、外部中断的基础知识。二、cubeMX 配置外部中断三、自动生成的代码解析四、代码实现。总结 前言 实验开发板&#xff1a;STM32F103C8T6。所需软件&#xff1a;keil5 &#xff0c; cubeMX 。实…

高精度数字电容传感芯片-MDC04

高精度数字电容传感芯片-MDC04 简介引脚说明PCBA板寄存器说明代码实现单总线通讯时序代码单总线通讯时序代码头文件MDC04驱动代码MDC04驱动代码头文件用户APP调用函数main主程序 简介 MDC04以低成本等优势&#xff0c;可用于智能小家电液位、水箱液位、油液液位、水浸传感、食…

【小黑嵌入式系统第三课】嵌入式系统硬件平台(一)

文章目录 一、概述二、总线1. 总线的概念1.1 总线结构1.2 总线类型1.2.1 数据总线1.2.2 程序总线1.2.3 数据地址总线1.2.4 程序地址总线 2. 总线协议2.1 握手协议2.2 总线读写2.3 DMA2.4 多总线系统2.5 多总线系统实例 三、存储设备3.1 嵌入式系统存储器子系统的结构3.2 RAM1、…

外置告警蜂鸣器使用小坑

告警蜂鸣器调试小坑 昨天调试新产品&#xff0c;由于IMO、MSC组织和IEC标准规定&#xff0c;不能使用带红色指示灯的蜂鸣器&#xff0c;于是更换了个不带灯。然而奇怪的现象出现了两次短响的程序在有的页面正常&#xff0c;有的页面就变成一声了。搞了一天&#xff0c;把各种寄…

FreeRTOS_队列

目录 1. 队列简介 1.1 数据存储 1.2 多任务访问 1.3 出队阻塞 1.4 入队阻塞 1.5 队列操作过程 1.5.1 创建队列 1.5.2 向队列发送第一个消息 1.5.3 向队列发送第二个消息 1.5.4 从队列中读取消息 2. 队列结构体 3. 队列创建 3.1 函数原型 3.1.1 函数 xQueueCreate…

ATPCS:ARM-Thumb程序调用的基本规则

为了使单独编译的c文件和汇编文件之间能够互相调用&#xff0c;需要制定一系列的规则&#xff0c;AAPCS就是ARM程序和Thumb程序中子程序调用的基本规则。 1、ATPCS概述 ATPCS规定了子程序调用过程中寄存器的使用规程、数据站的使用规则、参数的传递规则。为了适应一些特殊的需…

操作系统:进程的控制和通信(Windows2000)

文章目录 进程的控制和通信&#xff08;Windows2000&#xff09;一、实验目的二、实验要求与内容、过程与结果 进程的控制和通信&#xff08;Windows2000&#xff09; 一、实验目的 通过对Windows 2000编程&#xff0c;进一步熟悉操作系统的基本概念&#xff0c;并能较好地理…

STM32的bootloader程序(通过串口更新STM32应用程序)

1 什么是bootloader&#xff1f; Bootloader&#xff0c;也被称为引导加载程序&#xff0c;是操作系统启动过程中的一个重要组成部分。它是存储在非易失性存储器中的一段小程序&#xff0c;负责在操作系统内核运行之前加载并启动一些必要的系统组件。 当计算机开机后&#xff0…

M4内核启动全过程(从零写代码,不用任何库,深入分析启动过程和函数调用规则)

引言 玩过stm32的小伙伴&#xff0c;应该知道&#xff0c;在使用的keil工程里面有一个start.s的启动文件&#xff08;网上关于这个启动文件的分析很多&#xff0c;本文不是讲解启动文件的文字&#xff0c;不打算具体讲解这个文件的内容&#xff09;。start.s文件是芯片复位、启…

基于STM32的多功能智能密码锁控制设计

**单片机设计介绍&#xff0c;1653基于STM32的多功能智能密码锁控制设计 文章目录 一 概要二、功能设计设计思路 三、 软件设计原理图 五、 程序文档 六、 文章目录 一 概要 基于STM32的多功能智能密码锁控制设计是一种用STM32微控制器开发的系统&#xff0c;用于控制和管理密码…

【FreeRTOS】【STM32】06 FreeRTOS的使用-动态创建多任务 FreeRTOS 的启动流程

主要流程参照【FreeRTOS】【STM32】06 FreeRTOS的使用-动态创建单任务 1.定义任务句柄 static TaskHandle_t AppTaskCreate_Handle NULL;2.硬件初始化 略 3.创建具体功能任务函数 见定义任务函数 4.使用xTaskCreate创建任务 xReturn xTaskCreate((TaskFunction_t )AppT…

AutoSAR入门:开发工具链介绍

1、AutoSAR愿景/目标 AutoSAR的目标&#xff0c;旨在进行嵌入式软件的标准化。 2、AutoSAR在BMS中的应用 国外公司BMS 做的比较好的有联电、大陆、德尔福、AVL 和FEV 等等&#xff0c; 现在基本上都是按照AUTOSAR架构以及ISO26262功能安全的要求来做&#xff0c;软件功能更多&…

STM32+摁键与定时器实现Led灯控制(中断)

中断作为单片机开发必须掌握的内容&#xff0c;它能够在不搭载操作系统的情况下让我们体验多任务处理的快感&#xff0c;保证了高优先级任务的实时性&#xff0c;同时系统中断也能够提供给用户在核心发生错误之后进行处理的机会。STM32F103系列单片机中断非常强大&#xff0c;每…

正点原子嵌入式linux驱动开发——Linux蜂鸣器

蜂鸣器驱动原理 有源蜂鸣器只要通电就会叫&#xff0c;所以可以做一个供电电路&#xff0c;这个供电电路通过一个IO来控制其通断 &#xff0c;一般使用三极管来搭建这个电路。 在Linux下编写蜂鸣器驱动就需要以下工作&#xff1a; 设备树中创建蜂鸣器节点&#xff0c;加入GP…

STM32,我想看单片机上的外设时钟,我怎么看?

一&#xff1a;在工程中加入rcc文件 首先需要加载我们的时钟函数的文件 stm32f10x_rcc.h 和 stm32f10x_rcc.c 文件 二&#xff1a;查看文件 在h头文件 尾部&#xff0c;有我们这个总线的函数 在函数体内&#xff0c;有我们这个宏定义的 外设时钟&#xff0c;我们拿就行了 APB2_…

电机矢量控制算法和例程

电机矢量控制算法是一种高级的电机控制方法&#xff0c;它通过将电机转子空间矢量转换到旋转坐标系中&#xff0c;并在该坐标系中进行控制来实现对电机的精确控制。下面是对电机矢量控制算法的详细解释&#xff1a; 坐标系变换&#xff1a;电机矢量控制首先将电机转子空间矢量变…

ODrive移植keil(八)—— 闭环控制

目录 一、硬件接线二、官方代码操作2.1、力矩模式2.2、速度模式2.3、位置模式 三、移植后的代码操作3.1、力矩模式3.2、速度模式3.3、位置模式3.4、跳过上电校准3.4.1、手动输入参数3.4.2、flash保存参数 3.5、测试云台电机 四、代码说明五、定点运算和浮点运算 ODrive、VESC和…

STM32问题记录-S50卡只能读取id,不能读取数据(未解决)

problem description 想开发一款刷卡模块,录入人名&#xff0c;但无法读取数据块&#xff0c;&#xff0c;目前只能最基本的读取卡的ID号&#xff0c;达不到目的 特此记录&#xff0c;等待网友解答 void Read_Card() {u8 ucArray_ID [ 4 ]; u8 ucStatusReturn; u8 car…

【01】LVGL-CodeBlock模拟器安装 | LVGL工程下载 | PC端模拟LVGL步骤

LVGL模拟器 1.LVGL模拟器介绍2.Windows环境搭建CodeBlock及获取LVGL工程3.PC端模拟LVGL4.总结 1.LVGL模拟器介绍 LVGL模拟器&#xff1a;使用PC端软件模拟LVGL运行&#xff0c;而不需要任何嵌入式硬件。优点&#xff1a;便于学习、跨平台协同开发 2.Windows环境搭建CodeBlock及…

正点原子嵌入式linux驱动开发——Linux按键输入

在前几篇笔记之中都是使用的GPIO输出功能&#xff0c;还没有用过GPIO输入功能&#xff0c;本章就来学习一下如果在Linux下编写GPIO输入驱动程序。正点原子STM32MP1开发板上有三个按键&#xff0c;就使用这些按键来完成GPIO输入驱动程序&#xff0c;同时利用原子操作来对按键值进…

【STM32】江科大STM32学习笔记汇总(50)

00. 目录 文章目录 00. 目录01. STM32学习笔记汇总02. 相关资料下载03. 附录 01. STM32学习笔记汇总 【STM32】STM32学习笔记-课程简介(01) 【STM32】STM32学习笔记-STM32简介(02) 【STM32】STM32学习笔记-软件安装(03) 【STM32】STM32学习笔记-新建工程(04) 【STM32】STM…

STM32基于寄存器编程

在51中让一个引脚输出高低电平只需要一个步骤&#xff0c;而在32中至少需要三个步骤。 开启对应GPIO的时钟配置对应IO口设置IO口 本文将一步步进阶的讲解&#xff0c;三种寄存器编程的方法。 使用地址赋值进行配置使用ST的宏进行配置只控制需要的位&#xff08;位运算&#…

基于STM32的宠物箱温度湿度监控系统

基于STM32的宠物箱温度湿度监控系统 一、引言 随着人们生活水平的提高,养宠物已经成为越来越多人的选择。宠物作为家庭的一员,其生活环境和健康状况受到了广泛关注。温度和湿度是影响宠物舒适度和健康的重要因素之一。因此,开发一款能够实时监控宠物箱温度和湿度的系统具有…

STM32使用PB3, PB4引脚的注意事项

STM32的PB3, PB4引脚作为GPIO引脚需要注意&#xff0c;因为他们默认分别是JTDO和NJTRST引脚。 笔者在设计可调增益增益放大器&#xff08;VGA&#xff09;的时候&#xff0c;使用4个GPIO读取外部控制电压&#xff0c;根据约定的编码格式设定DAC的输出电压&#xff0c;从而设置V…

Secure Boot(安全启动)

Secure Boot&#xff08;安全启动&#xff09;的原理基于链式验证&#xff0c;这是一种确保计算机在启动过程中只加载和执行经过认证的软件的机制。这个过程涉及到硬件、固件和操作系统的多个层面。以下是Secure Boot的基本原理&#xff1a; 密钥和证书&#xff1a;Secure Boot…

STM32 DMA入门指导

什么是DMA DMA&#xff0c;全称直接存储器访问&#xff08;Direct Memory Access&#xff09;&#xff0c;是一种允许硬件子系统直接读写系统内存的技术&#xff0c;无需中央处理单元&#xff08;CPU&#xff09;的介入。下面是DMA的工作原理概述&#xff1a; 数据传输触发&am…

【ESP32 IDF】key按键与EXTI中断

文章目录 前言一、按键的使用1.1 按键的简介1.2 读取按键的高低电平1.3 读取按键具体代码 二、中断二、EXIT外部中断2.1 EXIT外部中断简介2.2 外部中断基础知识2.3 设置外部中断注册外部中断服务函数设置触发方式添加中断函数 2.4 示例代码 总结 前言 在嵌入式系统开发中&…

STM32自学☞串口发送+接收

一、相关函数说明&#xff1a; USART_ClockInit()和USART_ClockStructInit(); 用来配置同步时钟输出 USART_DMACmd(); 开启USART到DMA的触发通道 USART_SendData(); 发送数据 USART_ReceiveData(); 接收数据 二、程序代码 serial.c文件 #include "stm32f10x.h" #i…

STM32-BKP备份寄存器和RTC时钟

BKP介绍 BKP(Bckup Registers&#xff09;备份寄存器 备份寄存器是42个16位的寄存器&#xff0c;可用来存储84个字节的用户应用程序数据。他们处在备份域里&#xff0c;当VDD电源被切断&#xff0c;他们仍然由VBAT&#xff08;备用电池电源&#xff09;维持供电。当系统在待机…

STM32控制数码管从0显示到99

首先 先画电路图吧&#xff01;打开proteus&#xff0c;导入相关器件&#xff0c;绘制电路图。如下&#xff1a;&#xff08;记得要保存啊&#xff01;发现模拟一遍程序就自动退出了&#xff0c;有bug&#xff0c;我是解决不了&#xff0c;所以就是要及时保存&#xff0c;自己重…

STM32--低功耗模式详解

一、PWR简介 正常模式与睡眠模式耗电是mA级&#xff0c;停机模式与待机模式是uA级。 二、电源框图 供电区域有三处&#xff0c;分别是模拟部分供电&#xff08;VDDA&#xff09;&#xff0c;数字部分供电&#xff0c;包括VDD供电区域和1.8V供电区域&#xff0c;后备供电&…

STM32WLE5JC

多协议LPWAN 32位 ARM Cortex-M4 MCUs&#xff0c;LoRa&#xff0c;FSK&#xff0c;MSK&#xff0c;BPSK&#xff0c;最大256KB FLASH&#xff0c;64KB SRAM。 LPWAN代表低功耗广域网&#xff08;Low-Power Wide-Area Network&#xff09;&#xff0c;是一种无线网络技术&…

STM32通用定时器输入捕获

通用定时器输入捕获部分框图介绍 通用定时器输入捕获脉宽测量原理 要测量脉宽的高电平的时间&#xff1a;t2-t1&#xff08;脉宽下降沿时间点-脉宽上升沿时间点&#xff09; 假设&#xff1a;递增计数模式 ARR&#xff1a;自动重装载寄存器的值 CCRx1&#xff1a;t1时间点CCRx…

基于STM32设计的智慧农业管理系统(ESP8266+腾讯云微信小程序)

一、项目介绍 基于STM32设计的智慧农业控制系统(ESP8266+腾讯云微信小程序) 1.1 项目背景 随着人们对食品安全和生态环境的日益重视,智慧农业逐渐成为一个备受关注的领域。智能化管理可以提高农业生产效率,减少资源浪费,改善生态环境。因此,基于物联网技术的智慧农业管理系…

什么是 Windows 时间服务?

文章目录 Windows时间服务概述Windows时间服务包括的组件Windows时间服务&#xff08;W32Time&#xff09;时间同步协议时间服务器时间配置事件日志 时间协议的重要性推荐阅读 Windows时间服务概述 Windows 时间服务 (W32Time) 为计算机提供网络时钟同步&#xff0c;默认无需进…

FreeRTOS_信号量之互斥信号量

目录 1. 互斥信号量 1.1 互斥信号量简介 1.2 创建互斥信号量 1.2.1 函数 xSemaphoreCreateMutex() 1.2.2 函数 xSemaphoreCreateMutexStatic() 1.2.3 互斥信号量创建过程分析 1.2.4 释放互斥信号量 1.2.5 获取互斥信号量 2. 互斥信号量操作实验 2.1 实验程序 2.1.1 …

【STM32】--PZ6860L,STM32F4,ARM3.0开发板

一、ARM3.0开发板详细介绍 1.开发板整体介绍 &#xff08;1&#xff09;各种外设和主板原理图 &#xff08;2&#xff09;主板供电部分5V和3.3V兼容设计 注意跳线帽 2.STM32核心板介绍 3.核心板原理图 STM32和51的IO对应关系 下载电路 二、ARM3.0开发板ISP下载原理分析 1.I…

FreeRTOS深入教程(任务的引入及栈的作用)

文章目录 前言一、任务的引入二、深入理解C语言函数的调用1.ARM架构2.基础汇编指令3.函数运行流程分析 三.保存现场的几种情况1.函数调用2.中断处理3.任务切换 总结 前言 本篇文章开始带大家深入学习FreeRTOS&#xff0c;带大家学习什么是任务&#xff0c;并且深入学习栈的作用…

STM32F4_音乐播放器

目录 前言 1. WAV简介 1.1 WAVE文件的内部结构 2. WM8978简介 3. I2S简介 4. 硬件设计 5. 实验程序 5.1 main.c 5.2 I2S.c 5.3 I2S.h 5.4 WM8978.c 5.5 WM8978.h 前言 STM32F4开发板拥有全双工I2S&#xff08;也就是可以同时双向进行传输&#xff0c;A到B传输信息的…

【Proteus仿真】【STM32单片机】自动饲养控制系统

文章目录 一、功能简介二、软件设计三、实验现象联系作者 一、功能简介 本项目使用Proteus8仿真STM32单片机控制器&#xff0c;使用LCD1604显示模块、红外传感器、有害气体检测模块、PCF8591 ADC模块&#xff0c;蜂鸣器、DHT11温湿度、SG90舵机、风扇加热加湿等。 主要功能&a…

STM32的hex文件格式的分析

前言 最近研究Bootloader&#xff0c;通过串口实现STM32程序的更新。需要学习了解STM32的Hex文件格式。在这进行一下总结。 HEX文件格式 我们通过文本形式打开hex文件&#xff0c;可以看到&#xff1a; 这一行就是一条指令数据&#xff0c;这里对数据帧格式进行说明&#xff…

比例运算放大电路为什么要加平衡电阻

这个是反相比例运算放大电路&#xff0c;输出电压等于-Rf/R1乘以输入电压。 这个是同相比例运算放大电路&#xff0c;输出电压等于1Rf/R1乘以输入电压。 大家可以看到这两个电路中&#xff0c;都有一个电阻R2&#xff0c;反相比例运算放大电路放在同相端到地&#xff0c;同相比…

FreeRTOS 任务的创建与删除

目录 1. 什么是任务&#xff1f; 2. 任务创建与删除相关函数 任务创建与删除相关函数有如下三个&#xff1a; 任务动态创建与静态创建的区别&#xff1a; xTaskCreate 函数原型​编辑 vTaskDelete 函数原型 3. 创建两个任务进行点灯实操 使用CubeMX快速移植 1.增加两个…

仿真调试stm32汇编代码

软件准备 ubuntu交叉编译链工具&#xff1a;arm-none-eabi-gcc, arm-none-eabi-as, arm-none-eabi-ldqemu仿真软件&#xff1a;支持stm32版本调试工具&#xff1a;gdb-multiarch 启动仿真实验 汇编代码 .thumb .syntax unified.equ STACKINIT, 0x20005000.section .text .o…

HAL库——STM32CubeMX中断相关配置(中断反转LED状态)

STM32CubeMX中断相关配置 文章目录 STM32CubeMX中断相关配置1. 选择你要用的芯片(双击打开)2. 设置串口写入3. 配置时钟树&#xff0c;外部时钟为系统时钟&#xff08;PLL倍频时钟&#xff09;4. 查看原理图&#xff0c;找到可以中断控制的器件&#xff0c;或者外接小灯来达到中…

【STM32】两个版本MDK搭建和三种调试器的使用

一、Keil MDK4的安装和介绍 1.Keil MDK和Keil C51的关系 1&#xff09;Keil原来是专门做51&#xff0c;后面被ARM收购&#xff0c; 2&#xff09;Keil MDK是Keil C51的另外一个版本。 2.MDK4下载 Keil Embedded Development Tools for Arm, Cortex-M, Cortex-R4, 8051, C166,…

STM32串口发送接收完结

前言 提示&#xff1a;这里可以添加本文要记录的大概内容&#xff1a; 目前已经学习了GPIO的输入输出&#xff0c;但是没有完整的显示信息&#xff0c;最便宜的显示就是串口。 000 -111 AVR单片机 已经学会过了&#xff0c; 提示&#xff1a;以下是本篇文章正文内容&#x…

STM32 PWM配置及呼吸灯

PWM的英文全称是"Pulse Width Modulation"&#xff0c;中文翻译为"脉冲宽度调制"。 在PWM中可以调节的其实只有两个东西&#xff0c;一个叫做可调周期&#xff08;调频率&#xff09;&#xff0c;另一个叫做占空比&#xff08;高电平/周期&#xff09;。 而…

汇编语言(举个栗子)

汇编语言&#xff08;Assembly Language&#xff09;是任何一种用于电子计算机、微处理器、微控制器或其他可编程器件的低级语言&#xff0c;亦称为符号语言。在汇编语言中&#xff0c;用助记符代替机器指令的操作码&#xff0c;用地址符号或标号代替指令或操作数的地址。在不同…

FreeRTOS 消息队列 详解

目录 什么是队列&#xff1f; 消息队列特点 1. 数据入队出队方式 2. 数据传递方式 3. 多任务访问 4. 出队、入队阻塞 消息队列相关 API 函数 1. 创建队列 2. 写队列 3. 读队列 消息队列实操 什么是队列&#xff1f; 队列又称消息队列&#xff0c;是一种常用于任务间…

02. 板载音频功能

核心&#xff1a;CS43L22&#xff0c; CS43L22是一款低功耗立体声数字/模拟转换器&#xff08;DAC&#xff09; 一、输入 &#xff08;1&#xff09;MEMS麦克风&#xff1a;芯片-MP45DT02 &#xff08;2&#xff09;外挂的U盘 &#xff08;3&#xff09;STM32F4的内部芯片存…

01. 板载硬件资源和开发环境

一、板载硬件资源 STM32F4VGT6-DISCOVERY硬件资源如下&#xff1a; (1). STM32F407VGT6微控制器有1M的FLASH存储器&#xff0c;192K的RAM&#xff0c;LQFP100封装 (2). 板上的ST-LINK_V2可以使用选择的方式把套件切换成一个独立的ST-LINK/V2来 使用&#xff08;可以使用SWD…

freertos静态创建任务

在开始前先有个小插曲&#xff0c;我的keil的自动补全代码功能使用不了&#xff0c;经过查找是因为之前装51把有的文件覆盖了&#xff0c;照这篇博客就可以解决。 然后之前那份代码我们是动态创建任务&#xff0c;先来说一下动态创建任务和静态创建任务的区别&#xff1a; Fre…

FreeRTOS 二值信号量 详解

目录 什么是信号量&#xff1f; 什么是二值信号量&#xff1f; 二值信号量相关 API 函数 1. 创建二值信号量 2. 释放二值信号量 3. 获取二值信号量 二值信号量实操 什么是信号量&#xff1f; 信号量&#xff08;Semaphore&#xff09;&#xff0c;是在多任务环境下使用的…

FreeRTOS 计数型信号量 详解

目录 什么是计数型信号量&#xff1f; 计数型信号量相关 API 函数 1. 创建计数型信号量 2. 释放二值信号量 3. 获取二值信号量 计数型信号量实操 什么是计数型信号量&#xff1f; 计数型信号量相当于队列长度大于1 的队列&#xff0c;因此计数型信号量能够容纳多个资源&a…

【Proteus仿真】【STM32单片机】智能语音家居陪护机器人

文章目录 一、功能简介二、软件设计三、实验现象联系作者 一、功能简介 本项目使用Proteus8仿真STM32单片机控制器&#xff0c;使用OLED显示模块、红外传感器、蜂鸣器、DS18B20温度传感器&#xff0c;风扇LED、语音识别模块等。 主要功能&#xff1a; 系统运行后&#xff0c;…

使用cm_backtrace错误追踪库进行HardFault硬件错误定位

使用cm_backtrace错误追踪库进行HardFault硬件错误定位 cm_backtrace https://github.com/armink/CmBacktrace 在调试STM32时&#xff0c;可能会遇到HardFault硬件错误&#xff0c;但是CallStack没有给出有用信息的情况&#xff0c;使用cm_backtrace错误追踪库可以协助我们方…

基于stm32F103的座面声控台灯

1.基本内容&#xff1a; 设计一个放置在桌面使用的台灯&#xff0c;使用220v交流电供电。具备显示屏能够实时显示日期&#xff08;年、月、日和星期&#xff09;&#xff0c;时间&#xff08;小时、分钟、秒&#xff09;和温度&#xff08;摄氏度&#xff09;&#xff1b;能够通…

STM32标准库——(12)USART串口协议

1.全双工、半双工及单工通讯 2.同步与异步通讯 在同步通讯中&#xff0c;收发设备双方会使用一根信号线表示时钟信号&#xff0c;在时钟信号的驱动下双方进行协调&#xff0c; 同步数据&#xff0c;见图 同步通讯。 通讯中通常双方会统一规定在时钟信号的上升沿或下降沿对数据线…

树莓派驱动编译

驱动编译前提&#xff1a;驱动代码的编译需要提前编译号的内核 驱动&#xff08;3种实现方法&#xff0c;2条路线&#xff09;_驱动编写三种方法-CSDN博客 驱动的编写_驱动编写-CSDN博客 一、概念 1.1、驱动认识 1、裸机程序中是直接操控硬件的&#xff0c;操作系统…

基于nbiot的矿车追踪定位系统(论文+源码)

1.系统设计 鉴于智能物联网的大趋势&#xff0c;本次基于窄带物联网的矿车追踪定位系统应具备以下功能&#xff1a; &#xff08;1&#xff09;实现实时定位&#xff0c;真正实现矿车随时随地定位; &#xff08;2&#xff09;定位精度高&#xff0c;采用该系统可以实现矿车在…

【STM32 物联网】基础AT指令与基础Wifi功能AT指令

文章目录 前言一、基础AT指令1.1 测试AT启动1.2 重启模块1.3 查看版本信息1.4 进入深度睡眠模式1.5 开关回显1.6 恢复出场设置1.7 UART配置设置临时设置&#xff0c;不保存到Flash设置串口保存到Flash 1.8 设置sleep模式查询当前sleep模式设置当前sleep模式 二、基础Wifi功能AT…

初学者学习51还是STM32

初学者学习51还是STM32 在嵌入式系统领域&#xff0c;51和STM32是两种常见的单片机架构。对于初学者来说&#xff0c;选择学习哪种架构可能会成为一个难题。本文将对初学者学习51和STM32进行比较&#xff0c;以帮助读者做出明智的选择。 1. 51架构 51架构是指Intel 8051系列…

微信小程序开发系列(十八)·wxml语法·声明和绑定数据

目录 1. 双大括号写法用法一&#xff1a;展示内容 步骤一&#xff1a;创建一个data对象 步骤二&#xff1a;双大括号写法的使用 步骤三&#xff1a;拓展 2. 双大括号写法用法二&#xff1a;绑定属性值 步骤一&#xff1a;给对象赋一个属性值 步骤二&#xff1a;双大括…

微信小程序开发系列(十七)·事件传参·mark-自定义数据

目录 步骤一&#xff1a;按钮的创建 步骤二&#xff1a;按钮属性配置 步骤三&#xff1a;添加点击事件 步骤四&#xff1a;参数传递 步骤五&#xff1a;打印数据 步骤六&#xff1a;获取数据 步骤七&#xff1a;父进程验证 总结&#xff1a;data-*自定义数据和mark-自定…

STM32CubeIDE基础学习-基础外设初始化配置

STM32CubeIDE基础学习-基础外设初始化配置步骤 前言 前面的文章介绍了基础工程的创建步骤&#xff0c;这篇文章就接着在基础工程的基础上来配置相关外设了&#xff0c;下面以STM32F103C8T6的主芯片为例进行简单配置。 基础工程创建步骤回顾 具体的配置步骤流程如下&#xff1…

STM32 学习8 USART串口通讯与printf重定向

STM32 学习8 USART串口通讯 一、串口通信介绍1. USART介绍2. UART介绍3. STM32 F103ZET6串口资源4. STM32 USART作用5. STM32 USART框图引脚说明 6. 寄存器USART_SR&#xff08;Status Register&#xff0c;状态寄存器&#xff09;&#xff1a;USART_DR&#xff08;Data Regist…

机器人是怎么计时的(通用定时器 - 时基单元)

目录 一&#xff0c;引言 二&#xff0c;机器人的“大脑” 三&#xff0c;时基单元介绍 1&#xff0c;定时器框图 2&#xff0c;时基单元 &#xff08;1&#xff09;预分频器 &#xff08;2&#xff09;CNT计数器 &#xff08;3&#xff09;自动重装载寄存器 四&#…

STM32CubeIDE基础学习-EXTI外部中断实验

STM32CubeIDE基础学习-EXTI外部中断实验 文章目录 STM32CubeIDE基础学习-EXTI外部中断实验前言第1章 硬件介绍第2章 工程配置2.1 工程外设配置部分2.2 生成工程代码部分 第3章 代码编写第4章 实验现象总结 前言 中断概念&#xff1a;让CPU打断正在执行的程序&#xff0c;进而去…

STM32中除零运算,为何程序不崩溃?

在 C 语言中&#xff0c;除零运算会导致异常吗&#xff1f; 在 C 语言中&#xff0c;当一个数除以零时&#xff0c;会导致除法运算错误&#xff0c;通常表现为“除以零”错误或被称为“浮点异常”&#xff08;floating-point exception&#xff09;。 对于整数除法&#xff0c…

嵌入式系统设计师考试笔记之操作系统基础复习笔记二

目录 3、任务管理 &#xff08;1&#xff09;嵌入式操作系统的任务管理可以分为 &#xff08;2&#xff09;进程 &#xff08;3&#xff09;线程 &#xff08;4&#xff09;任务 &#xff08;5&#xff09;任务的创建与中止 &#xff08;6&#xff09;任务的状态任务有三…

正点原子嵌入式linux驱动开发——Linux RTC驱动

RTC也就是实时时钟&#xff0c;用于记录当前系统时间&#xff0c;对于Linux系统而言时间是非常重要的&#xff0c;就和使用Windows电脑或手机查看时间一样&#xff0c;在使用Linux设备的时候也需要查看时间。本章就来学习一下如何编写Linux下的RTC驱动程序。 Linux内核RTC驱动…

FreeRTOS 事件标志组 详解

目录 什么是事件标志组&#xff1f; 事件标志位 事件标志组 事件标志组相关 API 函数 1. 创建事件标志组 2. 设置事件标志位 3. 清除事件标志位 4. 等待事件标志位 事件标志组实操 什么是事件标志组&#xff1f; 事件标志位 表明某个事件是否发生&#xff0c;联想&am…

基于STM32+OneNet设计的物联网智能鱼缸(2023升级版)

基于STM32+OneNet设计的智能鱼缸(升级版) 一、前言 随着物联网技术的快速发展,智能家居和智能养殖领域的应用越来越广泛。智能鱼缸作为智能家居和智能养殖的结合体,受到了越来越多消费者的关注。本项目设计一款基于STM32的物联网智能鱼缸,通过集成多种传感器和智能化控制模…

STM32 中断NVIC详解,配置及示例

NVIC全称 Nested Vectored Controller 嵌套向量中断控制器 它是一种硬件设备&#xff0c;用于管理和协调处理器的中断请求。NVIC可以管理多个中断请求&#xff0c;并按优先级处理它们。当一个中断请求到达时&#xff0c;NVIC会确定其优先级并决定是否应该中断当前执行的程序&am…

分享Keil5设置忽略编译过程中的警告

Keil5忽略编译过程中的警告 分享Keil5设置忽略编译过程中的警告 分享Keil5设置忽略编译过程中的警告 加上这段字符就好了 --diag_suppress68 --diag_suppress111 --diag_suppress188 --diag_suppress223 --diag_suppress546 --diag_suppress1295实测有效

20231027 基于STM32mp157a 的内核与应用层通过子系统控制led灯,以及计时器功能

1.基于GPIO子系统编写LED驱动&#xff0c;编写应用程序进行测试 stm32mp157a-fsmp1a.dts 内核程序&#xff1a;ledk.c #include <linux/init.h> #include <linux/module.h> #include <linux/of.h> #include <linux/of_gpio.h> #include <linux/de…

FreeRTOS 延时函数和软件定时器 详解

目录 什么是延时函数&#xff1f; 1.延时函数分类 2.vTaskDelay 与 HAL_Delay 的区别 什么是定时器&#xff1f; 1.软件定时器优缺点 2.软件定时器原理 3.软件定时器相关配置 4.单次定时器和周期定时器 软件定时器相关 API 函数 1. 创建软件定时器 2. 开启软件定时器…

正点原子嵌入式linux驱动开发——Linux SPI驱动

到目前为止的学习笔记&#xff0c;已经介绍了Linux下的platform总线框架、I2C总线框架&#xff0c;本篇笔记将介绍Linux下的SPI总线框架。与I2C总线一样&#xff0c;SPI是物理总线&#xff0c;也是一种很常用的串行通信协议。本章就来学习如何在Linux下编写SPI总线接口的设备驱…

si24r1/nrf24l01

Si24R1 可配置为 Shutdown、 Standby、 Idle-TX、 TX 和 RX 五种工作模式。 芯片上电后为shutdown模式。此模式下不可以通过芯片收发数据&#xff0c;但MCU和芯片可以通过spi协议通信&#xff0c;更改内部寄存器的状态&#xff08;如设置 CONFIG 寄存器下的 PWR_UP 位的值为 1&…

FreeRTOS 中断管理介绍和实操

目录 中断定义 中断优先级 相关注意 中断相关函数 1.队列 2.信号量 3.事件标志组 4.任务通知 5.软件定时器 中断管理实操 中断定义 中断是指在程序执行的过程中&#xff0c;突然发生了某种事件&#xff0c;需要立即停止当前正在执行的程序&#xff0c;并转而处理这个…

【Micropython ESP32】RTC时钟

文章目录 前言一、RTC时钟的介绍1.1 RTC时钟的作用1.2 Micropython中时钟于硬件时钟的区别 二、machine.RTC 类2.1 machine.RTC 类的构造方法2.2 初始化 RTC 设备起始时间2.3 关闭 RTC 设备2.4 获取当前时间 三、示例代码总结 前言 在嵌入式设备开发中&#xff0c;实时时钟&am…

STM32学习笔记(7_2)- ADC模数转换器代码

无人问津也好&#xff0c;技不如人也罢&#xff0c;都应静下心来&#xff0c;去做该做的事。 最近在学STM32&#xff0c;所以也开贴记录一下主要内容&#xff0c;省的过目即忘。视频教程为江科大&#xff08;改名江协科技&#xff09;&#xff0c;网站jiangxiekeji.com 本期开…

网口2-STM32移植网口高速MCU无法正常通信问题

网口2-STM32移植网口高速MCU无法正常通信问题 我这边通过stm32Cube工具自动生成&#xff08;STM32F407VG&#xff09;网口代码&#xff0c;使用的是DP83848网口芯片&#xff0c;遇到了以下问题 1.系统时钟配置问题&#xff0c;系统时钟配置不对&#xff0c;下面附正确代码&…

20---复位电路设计

视频链接 复位电路设计01_哔哩哔哩_bilibili 复位电路设计 1、复位介绍 复位电路又叫初始化电路&#xff0c;它的作用是将芯片的工作状态回到初始状态&#xff01; 复位电路在硬件设计中至关重要&#xff0c;在实际调试的过程中&#xff0c;与复位相关的点必核查&#xff…

19---时钟电路设计

视频链接 时钟硬件电路设计01_哔哩哔哩_bilibili 时钟电路设计 晶振是数字电路的心脏&#xff0c;数字电路需要一个稳定的工作时钟信号&#xff0c;时钟电路至关重要&#xff01; 1、晶振概述 晶振一般指晶体振荡器。晶体振荡器是指从一块石英晶体上按一定方位角切下薄片&…

STM32驱动CC1101时的正确配置和一些遇到的坑

项目背景 由于项目需要用到CC1101-Q1,所以买了CC1101的模块和驱动底板,插电脑上一发一收。串口调试助手查看接收端的数据,测试正常。 然后想用STM32来驱动其中的一块CC1101模块作为发送端,和另一个买来的接收端测试通信。找到一份STM32驱动CC1101Demo代码,分为软件模拟S…

STM32利用串口外设发送数据

今天2024.3.21日上午学习了一下基本的串口初始化&#xff0c;利用串口发送一个字节的数据&#xff0c;看时间也快11点了&#xff0c;上午就学习这么多吧&#xff0c;把上午的知识总结一下&#xff0c;串口初始化的过程&#xff1a; 看着图来编写串口初始化的过程&#xff1a; …

嵌入式开发--获取STM32产品系列的信息

嵌入式开发–获取STM32产品系列和容量信息 获取STM32产品系列 有时候我们需要知道当前MCU是STM32的哪一个系列&#xff0c;这当然可以从外部丝印看出来&#xff0c;但是运行在内部的软件如何知道呢&#xff1f; ST为我们提供了一个接口&#xff0c;对于STM32的所有MCU&#x…

啥也不会的大学生看过来,这8步就能系统入门stm32单片机???

大家好&#xff0c;今天给大家介绍啥也不会的大学生看过来&#xff0c;这8步就能系统入门stm32单片机&#xff0c;文章末尾附有分享大家一个资料包&#xff0c;差不多150多G。里面学习内容、面经、项目都比较新也比较全&#xff01;可进群免费领取。 对于没有任何基础的大学生来…

24---DDR4电路设计

视频链接 DDR4电路设计01_哔哩哔哩_bilibili DDR4硬件电路设计 1、DDR4基本介绍 2011年1月4日&#xff0c;三星电子完成史上第一条DDR4内存。DDR4相比DDR3最大的区别有三点&#xff1a;16bit预取机制&#xff08;DDR3为8bit&#xff09;&#xff0c;同样内核频率下理论速度…

微信小程序开发系列(七)·如何实现小程序页面的快速跳转、小程序样式·尺寸单位rpx以及全局样式和局部样式的区别

微信小程序开发_时光の尘的博客-CSDN博客 目录 1. 小程序样式和组件介绍 2. 如何实现页面的快速跳转 3. 小程序样式尺寸单位rpx 3.1 使用px 3.2 使用rpx 4. 全局样式和局部样式 4.1 全局样式 4.2 局部样式 1. 小程序样式和组件介绍 在开发 Web网站的时候&am…

stm32平衡车

目录 一.所需材料 二.PID算法&#xff08;简单说明&#xff09; 直立环 速度环 串级PID 三.使用到的外设 1.定时器输出比较-PWM 2.定时器编码器模式 3.编码器读取速度 4.电机驱动函数 5.外部中断 四、小车 调试 一.所需材料 1.陀螺仪MPU6050--读取三轴的加速度…

STM32CubeIDE基础学习-安装芯片固件支持包

STM32CubeIDE基础学习-添加芯片固件支持包 前言 前面的文章在安装STM32CubeIDE软件时没有安装这个芯片PACK包&#xff0c;如果工程没有这个固件支持包的话是无法正常使用的&#xff0c;随便安装一个和芯片对应系列的支持包就可以了。 这篇文章来记录一下新增PACK包的常用操作…

STM32 | Systick定时器(第四天源码解析)

STM32 | Systick定时器(第四天)STM32 | STM32F407ZE中断、按键、灯(续第三天)1、参考delay_us代码,完成delay_ms的程序 定时器频率换算单位:1GHZ=1000MHZ=1000 000KHZ = 1000 000 000HZ 定时器定时时间:计数个数/f(频率) 或者 (1/f(频率))*计数的个数 500/1MHZ = 500/1…

STM32利用标准库编写程序proteus仿真流水灯

首先就是建立一个proteus工程&#xff0c;导入元器件画图&#xff1a; 接下来就是下载我已经都复制好的工程&#xff0c;下载后直接解压缩就能用&#xff1a; 链接&#xff1a;https://pan.baidu.com/s/1Nx5p3Tif6eHBIVkcPfsj9w?pwd1234 提取码&#xff1a;1234 解压后打开…

HAL STM32主从定时器联级使用

HAL STM32主从定时器联级使用 具体介绍参考STM32参考手册 &#x1f33f;主从定时器联级&#xff1a;使用一个定时器作为另一个定时器的预分频器。 &#x1f341;时钟关系&#xff1a; &#x1f33f;TIM1 和TIM8 控制寄存器 2(TIMx_CR2)相关位&#xff1a; &#x1f516;主…

【stm32】软件I2C读写MPU6050

软件I2C读写MPU6050(文章最后附上源码) 编码 概况 首先建立通信层的.c和.h模块 在通信层里写好I2C底层的GPIO初始化 以及6个时序基本单元 起始、终值、发送一个字节、接收一个字节、发送应答、接收应答 写好I2C通信层之后&#xff0c;再建立MPU6050的.c和.h模块 基于I2C通…

【操作系统】STM32-操作系统——持续更新

【操作系统】STM32-操作系统——持续更新 文章目录 前言一、ucosii二、freertos1.介绍2.移植 总结 前言 提示&#xff1a;以下是本篇文章正文内容&#xff0c;下面案例可供参考 一、ucosii UCOSII移植到STM32F103C8T6上之移植记录&#xff08;一&#xff09; UCOSII移植到ST…

STM32中启用 UART 的特定中断( __HAL_UART_ENABLE_IT函数)开机立即进入中断问题(HAL库)

学习过程中发现启用 UART 的特定中断功能之后&#xff0c;原本应该是等到空闲中断的标志位变化了再进入中断&#xff0c;结果MCU开机就会进入中断&#xff0c;不符合逻辑&#xff0c;所以尝试解决这个问题。 DMA空闲中断 处理 串口接收不定长数据 的文章见以下 原文链接&#…

QMC5883芯片I2C驱动开发指南

这个芯片纯国产挺好用的&#xff0c;电路很好设计&#xff0c;我这垃圾焊功&#xff0c;纯手焊&#xff0c;&#xff0c;居然能用。 第一部分 硬件连接 画的很简陋&#xff0c;看看就可以了。 第二部分 软件驱动 I2C的具体时序实现需要自己搞定&#xff01;&#xff01; 2…

模数转换器 SIG5533A 国产平替 CS5533AS,替代 CS5533AS

信格勒微电子的芯片产品已通过行业头部大厂导入验证&#xff0c;深受百万终端客户好评。 而且因为 fully compatible. 板子拿来&#xff0c;换个芯片&#xff0c; 性能更好 。MCU 不用改 c code。 SIG5531A/SIG5532A/SIG5533A/SIG5534A 1.6SPS to 3840SPS 16-bit/24-bit AD…

[STM32+HAL]DengFOC移植之闭环位置控制

一、源码来源 DengFOC官方文档 二、HAL库配置 1、开启硬件IIC低速模式 低速更稳定 2、PWM波开启 三、keil填写代码 1、AS5600读取编码器数值 #include "AS5600.h" #include "math.h"float angle_prev0; int full_rotations0; // full rotation trac…

spi123123

通信线名字的额外表述方式&#xff1a; SCK&#xff1a;SCLK、CLK、CK MOSI:DO( DATA OUTPUT ) MISO:DI( DATA INPUT ) SS:NSS( NOT SLAVE SELET )、CS( CHIP SELECT ) 同步&#xff1a;SCK引脚用于提供时钟信号&#xff0c;数据位的输出和输入都是在SCK的上升沿或下降沿进行&a…

A7 STM32_HAL库函数 之 LCORTEX通用驱动程序所有函数的介绍及使用

A1 STM32_HAL库函数 之 HAL系统驱动程序所有函数的介绍及使用 1 LCORTEX通用驱动程序预览1.1 HAL_NVIC_SetPriorityGrouping1.2 HAL_NVIC_SetPriority1.3 HAL_NVIC_EnableIRQ1.4 HAL_NVIC_DisableIRQ1.5 HAL_NVIC_SystemReset1.6 HAL_SYSTICK_Config1.7 HAL_MPU_Disable1.8 HAL…

正点原子嵌入式linux驱动开发——Linux 串口RS232/485/GPS 驱动

串口是很常用的一个外设&#xff0c;在Linux下通常通过串口和其他设备或传感器进行通信&#xff0c;根据 电平的不同&#xff0c;串口分为TTL和RS232。不管是什么样的接口电平&#xff0c;其驱动程序都是一样的&#xff0c;通过外接RS485这样的芯片就可以将串口转换为RS485信号…

STM32 — PWM介绍和使用PWM实现呼吸灯效果

目录 PWM介绍 PWM输出模式&#xff1a; PWM占空比&#xff1a; PWM周期与频率公式&#xff1a; 使用PWM点亮LED灯实现呼吸灯效果 1. 在 SYS 选项里&#xff0c;将 Debug 设为 Serial Wire​编辑 2. 将 RCC 里的 HSE 设置为 Crystal/Ceramic Resonator 3. 时钟配置 4.配…

怎么避免野指针?

(1)野指针的错误来源就是指针定义了以后没有初始化&#xff0c;也没有赋值&#xff08;总之就是指针没有明确的指向一个可用的内存空间&#xff09;&#xff0c;然后去解引用。 (2)知道了野指针产生的原因&#xff0c;避免方法就出来了&#xff1a;在指针的解引用之前&#xf…

【嵌入式项目应用】__嵌入式中,映射表的应用例子!

目录 一、嵌入式中的映射表是什么&#xff1f; 二、映射表在串口数据解析中的应用 1. 数据结构 2. 指令、函数映射表 3. 串口解析函数实现 三、映射表在UI设计中的应用 1. 数据结构 2. 函数映射表 3. 定义两个变量保存当前场景和上一个场景 4. 按下Up按键 跳转到指定场…

STM32单片机智能小车一PWM方式实现小车调速和转向

目录 1. 电机模块开发 2. 让小车动起来 3. 串口控制小车方向 4. 如何进行小车PWM调速 5. PWM方式实现小车转向 1. 电机模块开发 L9110s概述 接通VCC&#xff0c;GND 模块电源指示灯亮&#xff0c; 以下资料来源官方&#xff0c;具体根据实际调试 IA1输入高电平&#xff…

STM32:使用蓝牙模块

一、蓝牙概要 蓝牙是一种常见的无线通信协议&#xff0c;通常用于短距离通信。蓝牙分为经典蓝牙和低功耗蓝牙(BLE)。经典蓝牙通常用于需要持续传输数据的设备&#xff0c;比如蓝牙耳机等。低功耗蓝牙通常用于只需要间歇性传输数据的设备&#xff0c;比如运动手环。 蓝牙…

STM32F407的系统定时器

文章目录 系统定时器SysTick滴答定时器寄存器STK_CTRL 控制寄存器STK_LOAD 重载寄存器STK_VAL 当前值寄存器STK_CALRB 校准值寄存器 初始化 Systick 定时器SysTick_InitSysTick_CLKSourceConfig delay_us寄存器delay_us库函数delay_xms短时delay_ms长时SysTick_Config 系统定时…

合肥中科深谷嵌入式项目实战——人工智能与机械臂(三)

订阅&#xff1a;新手可以订阅我的其他专栏。免费阶段订阅量1000 python项目实战 Python编程基础教程系列&#xff08;零基础小白搬砖逆袭) 作者&#xff1a;爱吃饼干的小白鼠。Python领域优质创作者&#xff0c;2022年度博客新星top100入围&#xff0c;荣获多家平台专家称号。…

iZotope RX 10(音频修复和增强工具)

iZotope RX 10是一款音频修复和增强软件&#xff0c;主要特点包括&#xff1a; 声音修复&#xff1a;iZotope RX 10可以去除不良噪音、杂音、吱吱声等&#xff0c;使音频变得更加清晰干净。音频增强&#xff1a;iZotope RX 10支持对音频进行音量调节、均衡器、压缩器、限制器等…

正点原子嵌入式linux驱动开发——Linux 音频驱动

音频是最常用到的功能&#xff0c;音频也是linux和安卓的重点应用场合。STM32MP1带有SAI接口&#xff0c;正点原子的STM32MP1开发板通过此接口外接了一个CS42L51音频DAC芯片&#xff0c;本章就来学习一下如何使能CS42L51驱动&#xff0c;并且CS42L51通过芯片来完成音乐播放与录…

STM智能小车——OLED实现测速小车

目录 1. 测速模块 2. 测试原理和单位换算 3. 定时器和中断实现测速开发和调试代码 4. 小车速度显示在OLED屏 1. 测速模块 用途&#xff1a;广泛用于电机转速检测&#xff0c;脉冲计数,位置限位等。有遮挡&#xff0c;输出高电平&#xff1b;无遮挡&#xff0c;输出低电平接线…

智慧灌溉平台

1.知识百科 智慧灌溉是运用物联网、云计算、大数据等新一代信息技术&#xff0c;结合农业生产的实际需求&#xff0c;通过传感器采集土壤温湿度、光照强度等信息&#xff0c;利用无线传感网络传输到中央控制系统进行智能控制。智慧灌溉系统由传感器&#xff08;水位传感器&…

stm32 串口

目录 简介 串口通讯协议的物理层 电平标准 协议层 USART框图 总结 hal库代码 标准库代码 简介 USART&#xff08;Universal Synchronous/Asynchronous Receiver/Transmitter&#xff09;通用同步/异步收发器。USART是STM32内部集成的硬件外设&#xff0c;STM32F103系列…

AutoSAR配置与实践(深入篇)5.4 OS原理(下 )- 内存保护(MPU)

AutoSAR配置与实践(深入篇)5.4 OS原理-内存保护(MPU) 内存保护(MPU)一、OS保护的类型二、内存保护MPU简介(memory protection unit)三、OS Application和保护对象的分类3.1 OS Application的分类3.2 保护对象的分类四、静态和动态MPU Partition4.1 如何判断选择静态par…

STM32中微秒延时的实现方式

STM32中微秒延时的实现方式 0.前言一、裸机实现方式二、FreeRTOS实现方式三、定时器实现&#xff08;通用&#xff09;4、总结 0.前言 最近在STM32驱动移植过程中需要用到微秒延时来实现一些外设的时序&#xff0c;由于网上找到的驱动方法良莠不齐&#xff0c;笔者在实现时序过…

使用 Python、XML 和 YAML 编写 ROS 2 Launch 文件

系列文章目录 文章目录 系列文章目录前言一、Launch 文件示例1.1 Python 版本1.2 XML 版本1.3 YAML 版本 二、从命令行使用 Launch 文件1. Launching2. 设置参数3. 控制海龟 三、Python、XML 或 YAML&#xff1a; 我应该使用哪种语言&#xff1f;如果觉得内容不错&#xff0c;请…

stm32 模拟spi

目录 简介 spi物理层 连接方式 框图 协议层&#xff1a; 数据处理 传输模式 模式0 起始和停止信号 发送和接收数据 模式1 模式2 模式3 总结 简介 spi物理层 SPI&#xff08; Serial Peripheral Interface&#xff0c; 串行外设接口&#xff09;是一种全双工同步…

队列实现(基于内存池思想)

1. 阅读公司代码的时候&#xff0c;发现公司代码向串口打印&#xff0c;先把数据加载到数组中&#xff0c;进入临界区&#xff0c;然后通过串口输出&#xff0c;退出临界区。 2. 当然还有向flash写数据&#xff0c;直接一次性原文写入&#xff0c;也没进入临界区&#xff0c;挂…

【唠唠嵌入式】__如何学习单片机?

目录 前言 个人定位&#xff0c;从事软件还是硬件&#xff1f; 学习内容 (*&#xffe3;︶&#xffe3;)创作不易&#xff01;期待你们的 点赞、收藏和评论喔。 前言 作为一个老司机&#xff0c;多年来跟单片机、Keil、C语言、AD、烙铁、风枪、示波器、电子元器件纠缠不清…

【Proteus仿真】【51单片机】数控稳压可调电源设计

文章目录 一、功能简介二、软件设计三、实验现象联系作者 一、功能简介 本项目使用Proteus8仿真51单片机控制器&#xff0c;使用动态数码管、按键、PCF8591 AD/DAC、LM358放大电路模块等。 主要功能&#xff1a; 系统运行后&#xff0c;系统默认输出直流5V&#xff0c;数码管…

【嵌入式项目应用】__UART自定义通信协议代码实现方法

目录 前言 一、什么是通信协议 二、简单通信协议的问题 三、通信协议的常见内容 1. 帧头 2. 设备地址/类型 3. 命令/指令 4. 命令类型/功能码 5. 数据长度 6. 数据 7.帧尾 8.校验码 四、通信协议代码实现 1. 消息数据发送 a. 通过串口直接发送每一个字节 b. 通过…

【嵌入式项目应用】__cJSON基础介绍与代码测试

目录 前言 一、JSON是什么&#xff1f; 1. JSON 基本语法 2. JSON值(value)的类型 3. 逻辑值&#xff08;true 或 false&#xff09; 4. null 5. NUMBER 数字&#xff08;整数或浮点数&#xff09; 6. STRING 字符串&#xff08;在双引号""中&#xff09; 7…

嵌入式笔试【1】

1.递归函数定义没有问题&#xff0c;递归深层次后易引发什么问题&#xff1f; 递归函数定义没有问题&#xff0c;但是递归深度过深时&#xff0c;可能会引发以下问题&#xff1a; 栈溢出问题&#xff1a;每当一个函数被调用时&#xff0c;操作系统会为该函数创建一个新的栈帧&a…

正点原子嵌入式linux驱动开发——Linux USB驱动

USB是很常用的接口&#xff0c;目前大多数的设备都是USB接口的&#xff0c;比如鼠标、键盘、USB摄像 头等&#xff0c;在实际开发中也常常遇到USB接口的设备&#xff0c;本章就来学习一下如何使能Linux内核自带的USB驱动。这里不会具体学习USB的驱动开发。 USB接口简介 什么是…

安防监控项目---mjpeg-streamer视频图像显示(实时视频流实现)

文章目录 前言一、硬件准备和硬件设备的查询二、 jpeg库的移植2.1 源码下载2.2 jpeg库的移植三、mjpeg-stream的移植四、测试结果总结 前言 书接上期&#xff0c;我们已经实现了许多功能了&#xff0c;但是对于视频流的实时上传还未实现&#xff0c;本期主要分享的就是如何具体…

如何使用Arduino IDE对STM32F103C8T6进行编程

使用Arduino IDE对STM32F103C8T6进行编程调试&#xff0c;你需要进行一些准备工作和设置。以下是详细的操作步骤&#xff1a; 准备工作&#xff1a; 安装Arduino IDE&#xff1a;确保你已经安装了最新版本的Arduino IDE。可以从官方网站 https://www.arduino.cc/en/software 下…

GD32F470_GY30光照传感器模块 数字光强度BH1750FVI光照度

2.29 BH1750光照强度检测传感器 采用ROHM原装BH1750FVI芯片供电电源:3-5V&#xff0c;光照度范围:0-65535lx传感器内置16bitAD转换器&#xff0c;直接数字输出&#xff0c;省略复杂的计算&#xff0c;省略标定&#xff0c;不区分环境光源接近于视觉灵敏度的分光特性&#xff0…

09---蜂鸣器电路设计

视频链接 蜂鸣器硬件电路设计01_哔哩哔哩_bilibili 蜂鸣器电路设计 1、蜂鸣器的定义 蜂鸣器是一种一体化结构的电子讯响器&#xff0c;采用直流电压供电&#xff0c;广泛应用于计算机、打印机、复印机、报警器、电子玩具、汽车电子设备、电话机、定时器等电子产品中作发声器…

微信小程序开发系列(十五)·bind 绑定的事件(冒泡事件)、catch 绑定的事件(非冒泡事件)

目录 1. 事件分类 1.1 bind 绑定的事件&#xff08;冒泡事件&#xff09; 1.2 catch 绑定的事件&#xff08;非冒泡事件&#xff09; 1.3 代码 1. 事件分类 事件分为 冒泡事件 和 非冒泡事件&#xff1a; 冒泡事件&#xff1a;当一个组件的事件被触发后&#xff0c;…

微信小程序开发系列(二十四)·wxml语法·列表渲染·wx:for-item 和 wx:for-index

目录 1. 如果需要对默认的变量名和下标进行修改&#xff0c;可以使用wx:for-item 和 wx:for-index 2. 将 wx:for 用在 标签上&#xff0c;以渲染一个包含多个节点的结构块 方法一 方法二 3. 总结 3.1 wx:for-item 和 wx:for-index总结 3.2 总结 1. 如果需要对默…

stm32学习记录-5.2PWM输出控制sg90舵机角度

源码连接&#xff1a;https://gitee.com/HL12334/stm32-learning-code 前提知识&#xff1a; 1.定时器中断 1.关键概念 1.1pwm输出 1.常用术语 OC&#xff08;output compare&#xff09;输出比较CNT&#xff08;counter&#xff09;&#xff0c;定时器中用于计数的寄存器…

STM32用标准库做定时器定时1秒更新OLED的计数值(Proteus仿真)

首先新建proteus工程&#xff0c;绘制电路图&#xff1a; 然后赋值我之前文章中提到的文件夹OLED屏幕显示&#xff1a;&#xff08;没有的自己去那篇文章下载去&#xff09; 然后进入文件夹&#xff1a; 新建两个文件在Mycode文件夹中&#xff1a; 文件关系如下&#xff1a; 新…

STM32CubeIDE基础学习-STM32CubeIDE软件程序下载方法

STM32CubeIDE基础学习-STM32CubeIDE软件代码下载方法 文章目录 STM32CubeIDE基础学习-STM32CubeIDE软件代码下载方法前言第1章 代码下载第2章 下载器固件更新总结 前言 编写完代码&#xff0c;一般都会选择在线下载程序的方式进行验证该程序是否正确&#xff0c;如果发现结果和…

微信小程序开发系列(二十五)·wxml语法·条件渲染wx:if, wx:elif, wx:else 属性组以及hidden 属性的使用

目录 1. 使用 wx:if、wx:elif、wx:else 属性组 2. 使用 hidden 属性 条件渲染主要用来控制页面结构的展示和隐藏,在微信小程序中实现条件渲染有两种方式: 1. 使用 wx:if, wx:elif, wx:else 属性组 2. 使用 hidden 属性 wx:if 和 hidden 二者的区别&#xff1a; 1. wx…

stm32待机模式被意外唤醒之原因分析

1. 故障现象 客户在项目中使用 STM32G0B1, 由产品内置电池供电. 客户在程序中有使用到 standby 模式, 通过 WKUP2(PC13), WKUP6 引脚唤醒. 除此之外并没有其它唤醒源. 代码中有使用到 RTC,但只是用来记录日期和时间, 并没有参与 standby 的唤醒. 有两种故障现象 : 第一种 : …

STM32CubeIDE基础学习-设置输出HEX和BIN文件的方法

STM32CubeIDE基础学习-设置输出HEX和BIN文件的方法 前言 当某些时候&#xff0c;需要把一个程序下载到单片机里面进行功能验证或者看结果时&#xff0c;可以用串口软件来烧录程序&#xff0c;而不用再打开程序工程在线烧录程序这么麻烦了&#xff0c;那么就需要该工程文件夹下…

STM32 ESP8266模块的曲折探索

这是本文的配套资料&#xff0c;最终工程请参考 新_ESP8266资料\stm32f103成功移植的项目 【免费】stm32f103c8t6esp8266资料资源-CSDN文库 一、等到了ready 产品参数 我使用的是ai-thinker的esp8266-01s&#xff0c;以下为产品规格书 引脚定义&#xff1a; 依据引脚定义&…

微信小程序开发系列(二十)·wxml语法·setData()修改对象类型数据、ES6 提供的展开运算符、delete和rest的用法

目录 1. 新增单个、多个属性 1.1 新增单个属性 1.2 新增多个属性 2. 修改单个、多个属性 2.1 修改单个属性 2.2 修改多个属性 3. 优化 3.1 ES6 提供的展开运算符 3.2 Object.assign()将多个对象合并为一个对象 4. 删除单个、多个属性 4.1 删除单个属性 …

STM32 学习11 独立看门狗与窗口看门狗

STM32 学习11 独立看门狗与窗口看门狗 一、**看门狗概述**二、**STM32中的看门狗类型**1. 独立看门狗&#xff08;IWDG&#xff09;&#xff08;1&#xff09;基本概念&#xff08;2&#xff09;独立看门狗框图&#xff08;3&#xff09;独立看门狗配置步骤&#xff08;4&#…

STM32CubeIDE基础学习-STM32CubeIDE软件偏好设置

STM32CubeIDE基础学习-STM32CubeIDE软件偏好设置 文章目录 STM32CubeIDE基础学习-STM32CubeIDE软件偏好设置前言第1章 设置字体颜色第2章 设置字体大小第3章 设置代码区背景颜色总结 前言 编程软件环境最好就设置一个自己喜欢的界面进行显示&#xff0c;这样看起来会比较舒服些…

嵌入式面经-STM32

STM32 I2C 是一种串行通信总线。两条线进行通信&#xff0c;数据线&#xff08;SDA&#xff09;用于双向传输数据&#xff0c;时钟线&#xff08;SCL&#xff09;由主设备产生时钟信号&#xff0c;用于同步数据传输。 起始信号&#xff1a;SCL 为高电平&#xff0c;SDA 从高电…

STM32学习笔记(6_8)- TIM定时器的编码器接口代码

无人问津也好&#xff0c;技不如人也罢&#xff0c;都应静下心来&#xff0c;去做该做的事。 最近在学STM32&#xff0c;所以也开贴记录一下主要内容&#xff0c;省的过目即忘。视频教程为江科大&#xff08;改名江协科技&#xff09;&#xff0c;网站jiangxiekeji.com 现在开…

DMA知识

提示&#xff1a;文章 文章目录 前言一、背景二、 2.1 2.2 总结 前言 前期疑问&#xff1a; 本文目标&#xff1a; 一、背景 2024年3月26日23:32:43 今天看了DMA存储器到存储器的DMA传输和存储器到外设的DMA实验&#xff0c;在keil仿真可以看到效果。还没有在protues和开发…

STM32F103 CubeMX 使用USB生成键盘设备

STM32F103 CubeMX 使用USB生成键盘设备 基础信息HID8个数组各自的功能 生成代码代码编写添加申明信息main 函数编写HID 修改1. 修改报文描述符2 修改 "usbd_hid.h" 中的申明文件 基础信息 软件版本&#xff1a; stm32cubmx&#xff1a;6.2 keil 5 硬件&#xff1a;…

29---Nor Flash电路设计

视频链接 Nor Flash硬件电路设计01_哔哩哔哩_bilibili NOR FLASH电路设计 1、NOR FLASH介绍 NOR Flash最早是由Intel公司于1988年开发出的。 NOR Flash虽容量小但速度快,最大特点是支持芯片内执行&#xff08;XIP&#xff09;&#xff0c;即程序可以直接在NOR flash的片内…

【stm32】hal库学习笔记--定时器输出PWM波

【stm32】hal库学习笔记–定时器输出PWM波 PWM波原理 输出比较 输入捕获 驱动函数 定时器驱动函数 PWM波驱动函数 定时器基本不使用DMA方式 定时器中断处理通用函数 HAL_TIM_IRQHandler实验一:输出固定占空比PWM波 时钟树配置 PF9 改为tim14CH1 tim14配置 开启tim14全局中…

关于stm32(CubeMX+HAL库)的掉电检测以及flash读写

1.掉电检测 CubeMX配置 只需使能PVD中断即可 但是使能了PVD中断后还需要自行配置一些PWR寄存器中的参数&#xff0c;我也通过HAL库进行编写 void PVD_config(void) {//配置PWRPWR_PVDTypeDef sConfigPVD; sConfigPVD.PVDLevel PWR_PVDLEVEL_7; …

freemodbus主机(先发坑,后面填)

FreeModbus是开源的modbus库&#xff0c;可以很方便的移植到单片机上&#xff0c;但是遗憾的是FreeModbus没有主机库&#xff0c;好消息是armink大神写了仿照freemodbus从机写了一套主机&#xff0c;GitHub - armink/FreeModbus_Slave-Master-RTT-STM32: Add master mode to Fr…

AD教程(五)光耦及二极管元件模型的创建

AD教程&#xff08;五&#xff09;光耦及二极管元件模型的创建 二极管元件的创建 放置管脚&#xff0c;设置管脚号和管脚名称&#xff08;一般隐藏&#xff09;绘制三角形 右键放置直线&#xff0c;选择放置多边形&#xff0c;操作逻辑&#xff0c;每次操作都会增加一边&…

STM32 使用HAL库,HAL_Delay()会卡死, 程序一直卡在 HAL_GetTick( ) 函数中(已解决)

今天遇到个很奇怪的问题, 不知道为什么, 单片机运行一会之后, 系统就没反应了, 经过调试发现, 系统卡在HAL_Delay()中了. 之前也遇到过这个问题后来把HAL_Delay 去掉了. 然后发现不行, 还是得有它.不然发串口数据发的太快会乱掉. 得慢点发. 然后调试到HAL_Delay()方法的内部发…

Windows 时间服务配置和配置工具

文章目录 Windows 时间服务保留Portw32tm 命令配置 Windows 时间服务配置客户端使用两个时间服务器配置客户端自动从域源同步时间检查客户端时间配置使用本地组策略编辑器配置Windows 时间注册表参考推荐阅读 Windows 时间服务 (W32Time) 为 Active Directory 域服务 (AD DS) 管…

freertos入门(stm32f10c8t6版闪烁灯)

首先到官网下载freertos源码&#xff0c;然后找一个stm32f10c8t6的空模板&#xff0c;这个空模板实现点灯之类的都行。 然后在这个空模板的工程下新建一个FreeRtos文件夹 接着在FreeRtos文件夹下新建三个文件夹&#xff0c;分别是src存放源码 inc 存放头文件&#xff0c;port …

STM32F103C8T6第二天:按键点灯轮询法和中断法、RCC、电动车报警器(振动传感器、继电器、喇叭、433M无线接收发射模块)

1. 点亮LED灯详解&#xff08;307.11&#xff09; 标号一样的导线在物理上是连接在一起的。 将 PB8 或 PB9 拉低&#xff0c;就可以实现将对应的 LED 灯点亮。常用的GPIO HAL库函数&#xff1a; void HAL_GPIO_Init(GPIO_TypeDef *GPIOx, GPIO_InitTypeDef *GPIO_Init);//I/…

使用 rosdep 管理 ROS 2 依赖项

系列文章目录 文章目录 系列文章目录前言 清华源镜像使用一、什么是 rosdep&#xff1f;二、关于 package.xml 文件的一些小知识三、rosdep 是如何工作的&#xff1f;四、如何知道在 package.xml 中输入哪些 key &#xff1f;五、如何使用 rosdep 工具&#xff1f;5.1 rosdep 安…

基于STM32HAL库(窗口看门狗)-简述

目录 概述 一、开发环境 二、STM32CubeMx配置 三、编码 四、运行结果 五、总结 概述 一个成熟靠谱的项目&#xff0c;离不开“看门狗”的必选项&#xff0c;凡是人写的程序多少都会有出现bug的情况&#xff08;或芯片外设受外界干扰导致故障程序卡死、跑飞的情况&#xf…

官网教程——Cmake 中的重要概念

文章目录 前言一、重要概念——目标&#xff08;Target&#xff09;1.1 使用要求1.2 使用目标指定优化库或调试库1.3 对象库1.4 源文件1.5 目录、测试和属性 二、Policies2.1 设计目标2.2 Setting Policies 三、Modules&#xff08;模块&#xff0c;组&#xff09;3.1 使用模块…

电机应用-直流有刷电机

直流有刷电机 直流有刷电机具有结构简单、易于控制、成本低等特点。 基本的直流有刷电机在电源和电机间只需要两根电缆&#xff0c;可以节省配线和连接器所需的空间&#xff0c;并降低电缆和连接器的成本。 还可以使用MOSFET/IGBT开关对直流有刷电机进行控制&#xff0c;给电机…

运放电压跟随器为什么要加电阻

这个是运放构成的电压跟随器&#xff0c;他的特点是输出电压等于输入电压&#xff0c;它常常用来对信号进行隔离&#xff0c;缓冲和提高带载能力。 有时候我们还会在电压跟随器上加这两个电阻&#xff0c;其中R1主要是起保护作用&#xff0c;Rf主要是为了消除偏置电流对输出电压…

stm32f103+HC-SR04+ssd1306实现超声波测距

&#x1f64c;秋名山码民的主页 &#x1f602;oi退役选手&#xff0c;Java、大数据、单片机、IoT均有所涉猎&#xff0c;热爱技术&#xff0c;技术无罪 &#x1f389;欢迎关注&#x1f50e;点赞&#x1f44d;收藏⭐️留言&#x1f4dd; 获取源码&#xff0c;添加WX 目录 前言HC…

【物联网学习笔记】CubeMx6.10+STM32F407ZGT6+LWIP最最最基础配置

使用正点原子探索者开发板 板载芯片&#xff1a;STM32F407ZGT6 PHY芯片&#xff1a;LAN8720 LWIP版本&#xff1a;2.1.2 本次目标&#xff1a;先ping通开发板再说&#xff01; 文章目录 一、CubeMX配置1、时钟配置2、ETH配置3、LWIP配置4、添加LAN8720的复位引脚 二、Keil工…

最新CLion + STM32 + CubeMX 开发环境搭建

网上有不少相关教程&#xff0c;但都是基于老版本Clion&#xff0c;新版有一些改变&#xff0c;但整体是简单了。 PS&#xff1a;本教程基于CLion 2023.3.4 安装所需工具参考&#xff1a;Clion搭建stm32开发环境&#xff08;STM32F103C8T6&#xff09;&#xff0c;有这一篇就够…

串口协议、I2C协议、SPI协议总结

目录 一、串口协议 1.串口基本认知 2.RS-232 3.RS-422 4.RS-485 &#xff08;1&#xff09;RS232电平&#xff1a; &#xff08;2&#xff09;TTL电平&#xff1a; 6.串口51开发板实现 &#xff08;1&#xff09;软件自动配置&#xff1a; &#xff08;2&#xff09;…

Makefile+OpenOCD开发STM32

准备工作 平台&#xff1a;Windows11&#xff08;Linux&#xff0c;MAC同理&#xff09; 编译链&#xff08;arm-none-eabi-gcc&#xff09;&#xff1a;Downloads | GNU Arm Embedded Toolchain Downloads – Arm Developer 下载对应平台工具链并添加到环境变量&#xff0c…

江科大stm32学习笔记【6-2】——定时器定时中断定时器外部时钟

一.定时器定时中断 1.原理 2.硬件 3.程序 此时CK_PSC72M&#xff0c;定时1s&#xff0c;也就是定时频率为1Hz&#xff0c;所以可以PSC7200-1,ARR10000-1。 Timer.c: #include "stm32f10x.h" // Device headerextern uint16_t Num;//声明跨文件的…

STM32CubeMX与HAL库开发教程八(串口应用/轮询/中断/DMA/不定长数据收发)

目录 前言 初识串口-轮询模式 串口中断模式收发 串口DMA模式 蓝牙模块与数据包解析 前言 前面我们简单介绍过串口的原理和初步的使用方式&#xff0c;例如怎么配置和简单的收发&#xff0c;同时我们对串口有了一个初步的了解&#xff0c;这里我们来深入的来使用一下串口 …

基于STM32的智能书房系统的设计

基于STM32的智能书房系统的设计 摘要 随着科技的不断发展&#xff0c;智能家居系统逐渐成为现代家庭的重要组成部分。智能书房系统作为智能家居的一个子系统&#xff0c;旨在为用户提供一个舒适、便捷和高效的学习与工作环境。本文设计了一种基于STM32的智能书房系统&#xf…

STM32信息安全 1.2 课程架构介绍:芯片生命周期管理与安全调试

STM32信息安全 1.2 课程架构介绍&#xff1a;STM32H5 芯片生命周期管理与安全调试 下面开始学习课程的第二节&#xff0c;简单介绍下STM32H5芯片的生命周期和安全调试&#xff0c;具体课程大家可以观看STM32官方录制的课程&#xff0c;链接&#xff1a;1.2. 课程架构介绍&…

STM32的SPI通信介绍

SPI简介 SPI:串行外设接口,与IIC一样都是通用数据总线。四根通信线&#xff1a;SCK&#xff0c;MOSI&#xff08;DO&#xff09;&#xff0c;MISO&#xff08;DI&#xff09;&#xff0c;SS。同步&#xff08;共用一根时钟线&#xff09;&#xff0c;全双工&#xff08;数据发…

STM32/GD32的以太网DMA描述符

继续梳理以太网的DMA描述符。 以太网DAM描述符的结构 有两种结构&#xff0c;链式结构和环形结构。 常用的是链式结构。 标准库中&#xff0c;关于DMA描述符的数据结构 以gd32f4xx_enet.c为例。 先说发送描述符。 系统分配了5个发送描述符。每个描述符对应的缓冲区大小为152…

STM32 PWM通过RC低通滤波转双极性SPWM测试

STM32 PWM通过RC低通滤波转双极性SPWM测试 &#x1f4cd;参考内容《利用是stm32cubemx实现双极性spwm调制 基于stm32f407vet6》&#x1f4fa;相关视频链接&#xff1a;https://www.bilibili.com/video/BV16S4y147hB/?spm_id_from333.788 双极性SPWM调制讲解以及基于stm32的代码…

【Proteus仿真】【51单片机】水质监测报警系统设计

文章目录 一、功能简介二、软件设计三、实验现象联系作者 一、功能简介 本项目使用Proteus8仿真51单片机控制器&#xff0c;使用按键、LED、蜂鸣器、LCD1602、PCF8591 ADC、PH传感器、浑浊度传感器、DS18B20温度传感器、继电器模块等。 主要功能&#xff1a; 系统运行后&…

Cmake 中的重要概念

文章目录 前言一、重要概念——目标&#xff08;Target&#xff09;1.1 使用要求1.2 使用目标指定优化库或调试库1.3 对象库1.4 源文件1.5 目录、测试和属性 二、Policies2.1 设计目标2.2 Setting Policies 三、Modules&#xff08;模块&#xff0c;组&#xff09;3.1 使用模块…

FreeRTOS_低功耗Tickless模式

目录 1. STM32F4 低功耗模式 1.1 睡眠(Sleep)模式 1.2 停止(Stop)模式 1.3 待机(Standby)模式 2. Tickless 模式详解 2.1 如何降低功耗 2.2 Tickless 具体实现 2.2.1 宏 configUSE_TICKLESS_IDLE 2.2.2 宏 portSUPPRESS_TICKS_AND_SLEEP() 2.2.3 宏 configPRE_SLEEP_…

STM32 蜂鸣器介绍 配置 播放音节

蜂鸣器一般被分为两类&#xff1a;有源蜂鸣器和无源蜂鸣器。其中源是振荡源。有源蜂鸣器内部有正当电路&#xff0c;可以把直流电源转换为一定频率的脉冲信号。因为它一直输出一定的频率&#xff0c;我们无法改变频率&#xff0c;我们只能通过电源&#xff0c;控制它发不发声&a…

【Proteus仿真】【STM32单片机】汽车尾灯控制设计

文章目录 一、功能简介二、软件设计三、实验现象联系作者 一、功能简介 本项目使用Proteus8仿真STM32单片机控制器&#xff0c;使用按键、LED模块等。 主要功能&#xff1a; 系统运行后&#xff0c;系统运行后&#xff0c;系统开始运行&#xff0c;K1键控制左转向灯&#xff…

ROS2 与 Gazebo 联合仿真项目模版

系列文章目录 文章目录 系列文章目录前言一、包含的软件包二、安装2.1 安装要求2.2 作为模板使用 三、用法3.1 安装依赖项3.2 构建项目3.3 source 工作空间3.4 启动仿真 四、详细用法4.1 软件包结构4.2 仿真资源配置 总结 前言 一个集成了 ROS 2 和 Gazebo 模拟器的模板项目。…

基于单片机的自动循迹小车(论文+源码)

1.系统设计 此次基于单片机的自动循迹小车的设计系统&#xff0c;结合循迹模块来共同完成本次设计&#xff0c;实现小车的循迹功能&#xff0c;其其整体框架如图2.1所示。其中&#xff0c;采用STC89C52单片机来作为核心控制器&#xff0c;负责将各个传感器等模块链接起来&…

基于单片机的指纹密码锁(论文+源码)

1.系统设计 基于单片机的指纹锁控制系统设计的整体框图如图2.1所示&#xff0c;主控制模块选用单片机STC89C52单片机&#xff0c;同时还包括AT24C02存储电路&#xff0c;指纹模块&#xff0c;LCD12864液晶&#xff0c;继电器&#xff0c;矩阵键盘等硬件电路。其中指纹模块和矩…

USB复合设备构建CDC+HID鼠标键盘套装

最近需要做一个小工具&#xff0c;要用到USB CDCHID设备。又重新研究了一下USB协议和STM32的USB驱动库&#xff0c;也踩了不少坑&#xff0c;因此把代码修改过程记录一下。 开发环境&#xff1a; ST-LINK v2 STM32H743开发板 PC windows 11 cubeMX v6.9.2 cubeIDE v1.13.2 cub…

AW2013芯片讲解

文章目录 前言一、AW2013芯片介绍二、AW2013从机地址三、AW2013读写时序AW2013写时序AW2013读时序 四、AW2013的INT引脚五、LED作用和配置描述LED控制PWM控制模式简短编程模式 六、AW2013寄存器讲解总结 前言 本篇文章将带大家学习AW2013芯片的使用。 一、AW2013芯片介绍 AW…

基于单片机的智能家居安保系统(论文+源码)

1.系统设计 本次基于单片机的智能家居安保系统设计&#xff0c;在功能上如下&#xff1a; 1&#xff09;以51单片机为系统控制核心&#xff1b; 2&#xff09;温度传感器、人体红外静释电、烟雾传感器来实现检测目的&#xff1b; 3&#xff09;以GSM模块辅以按键来实现远/近程…

DAC实验(DAC 输出三角波实验)(DAC 输出正弦波实验)

DAC 输出三角波实验 本实验我们来学习使用如何让 DAC 输出三角波&#xff0c;DAC 初始化部分还是用 DAC 输出实验 的&#xff0c;所以做本实验的前提是先学习 DAC 输出实验。 使用 DAC 输出三角波&#xff0c;通过 KEY0/KEY1 两个按键&#xff0c;控制 DAC1 的通道 1 输出两种…

RT-Thread STM32F407 定时器

定时器简介 硬件定时器一般有 2 种工作模式&#xff0c;定时器模式和计数器模式。不管是工作在哪一种模式&#xff0c;实质都是通过内部计数器模块对脉冲信号进行计数。下面是定时器的一些重要概念。 计数器模式&#xff1a;对外部输入引脚的外部脉冲信号计数。 定时器模式&…

问题汇总20231110

文章目录 前言问题汇总1.OTG2.旁路时钟源与晶振的区别3.Cubemx不能设置时钟源的原因4.Cubemx库文件路径不能修改5.KEIL编译没问题但是有红叉的原因6.比较结构体的方式 前言 本篇文章为个人日常生活的问题总结&#xff0c;不做权威使用&#xff0c;帮助小白解决问题&#xff0c…

STM32H743 RTC精密数字校准 深度剖析

一、问题 项目中数据报文收到的RTC时间总是会慢一些,经过实际几天的测试得出结论:24小时要慢5S左右。根据手册我了解到可以有误差但不会差这么多,所以进行了如下分析并解决问题。 二、分析 1.影响RTC准确性的因素罗列 硬件基础误差(也就是待校准部分) …

基于STM32的无线传感器网络(WSN)通信方案设计与实现

无线传感器网络&#xff08;Wireless Sensor Network&#xff0c;简称WSN&#xff09;是由一组分布式的无线传感器节点组成的网络&#xff0c;用于监测和收集环境中的各类物理信息。本文将基于STM32微控制器&#xff0c;设计并实现一个简单的无线传感器网络通信方案&#xff0c…

MATLAB 模型预测控制(MPC)控制入门 —— 设计并仿真 MPC 控制器

系列文章目录 文章目录 系列文章目录前言一、使用 MPC Designer 设计控制器1.1 CSTR 模型1.2 导入被控对象并定义 MPC 结构1.3 定义输入和输出通道属性1.4 配置仿真场景1.5 配置控制器水平线1.6 定义输入约束条件1.7 指定控制器调整权重1.8 消除输出超调1.9 测试控制器抗干扰能…

开发知识点-stm32/ESP32/Mega2560嵌入式设计

嵌入式设计 STM32四轴飞行器原理图解析小马哥 DragonFly四轴软件开发 13 STM32 SPI总线通讯SPI 总线协议简介SPI 物理层SPI 协议层SPI 通信时序 STM32硬件SPI接口简介SPI接口 利用库函数初始化配置 ESP32 “F:\res\marlin-2.0.x” “F:\res\Marlin-2.1.2” STM32四轴飞行器 小…

AD教程 (十)Value值的核对

AD教程 &#xff08;十&#xff09;Value值的核对 填写器件位号 直接根据原理图的原始编号进行更改 通过位号编辑器快速更改 点击工具&#xff0c;选择标注&#xff0c;选择原理图标注&#xff0c;进入位号编辑器 可以在位号编辑器中 设置处理顺序&#xff0c;从上往下还是从…

【嵌入式开发学习】__如何将BootLoader与APP合并成一个固件

目录 一、前言 二、传统方式 三、高效方式 1. 合并文件 2. bin转hex srec_cat工具 3. 完整示例 4. 举一反三 (*&#xffe3;︶&#xffe3;)创作不易&#xff01;期待你们的 点赞、收藏和评论喔。 一、前言 嵌入式固件一般分为 BootLoader 和 App&#xff0c;BootLo…

STM32开发(二十八)STM32F103 高级外设 —— 触摸校准

文章目录 一、基础知识点二、开发环境1、硬件开发准备2、软件开发准备三、STM32CubeMX相关配置四、Vscode代码讲解五、结果演示一、基础知识点 问题一、为什么要进行触摸校准? 假如LCD屏幕尺寸是240x320,触摸膜物理尺寸和LCD屏幕是一样的,但是触摸的ADC值长和宽一样的是0-4…

电脑硬盘数据恢复哪个好?值得考虑的 8 个硬盘恢复软件解决方案

借助硬盘恢复软件&#xff0c;任何人都可以在家中恢复丢失的文件&#xff0c;而无需任何特殊技能。事实上&#xff0c;最困难的一步是选择最佳解决方案&#xff0c;因为可用选项的数量可能有点多。幸运的是&#xff0c;这篇文章可以为您提供帮助。 8 款顶级硬盘数据恢复软件解决…

STM32-EXTI中断

EXTI简介 EXTI&#xff08;Extern Interrupt&#xff09;外部中断 EXTI可以监测指定GPIO口的电平信号&#xff0c;当其指定的GPIO口产生电平变化时&#xff0c;EXTI将立即向NVIC发出中断申请&#xff0c;经过NVIC裁决后即可中断CPU主程序&#xff0c;使CPU执行EXTI对应的中断程…

STM32 GPIO

STM32 GPIO GPIO简介 GPIO&#xff08;General Purpose Input Output&#xff09;通用输入输出口&#xff0c;也就是我们俗称的IO口 根据使用场景&#xff0c;可配置为8种输入输出模式 引脚电平&#xff1a;0V~3.3V&#xff0c;部分引脚可容忍5V 数据0就是低电平&#xff0c…

单片机启动流程

存储器 ​ 一个单片机中存在rom和ram&#xff0c;Soc也有rom和ram&#xff08;ddrx&#xff09;&#xff0c;部分Soc还包含MMU&#xff08;Memory Manage Unit 内存管理单元&#xff09;— &#xff08;用于系统内存管理&#xff0c;比如说虚拟内存空间&#xff0c;内存区间的…

(免费版?)CLion Nova 强势登陆 C 和 C++ 开发领域

系列文章目录 文章目录 系列文章目录前言一、CLion Nova二、目标三、优势和改进四、显著差异五、如何安装 CLion Nova六、分享您的反馈意见总结 阿纳斯塔西娅-卡扎科娃 2023 年 11 月 9 日 前言 今天&#xff0c;我们宣布推出免费的 CLion 早期预览版&#xff0c;它使用 ReSh…

STM32 LL库下ADC + DMA多通道连续扫描采集通道错乱问题记录

cubemx配置ADCDMA转换后&#xff0c;代码在 adc.c 中将ADC_REG_InitStruct.DMATransfer 属性设置为&#xff1a; LL_ADC_REG_DMA_TRANSFER_UNLIMITED 或者 LL_ADC_REG_DMA_TRANSFER_LIMITED&#xff08;在MX中配置时只有这两选项&#xff09; &#xff0c;都会在初始化ADC时同…

stm32超声波测距不准的解决方法(STM32 delay_us()产生1us)

首先要说明一下原理&#xff1a;使用stm32无法准确产生1us的时间&#xff0c;但是超声波测距一定要依赖时间&#xff0c;时间不准&#xff0c;距离一定不准&#xff0c;这是要肯定的&#xff0c;但是在不准确的情况下&#xff0c;要测量一个比较准确的时间&#xff0c;那么只能…

基于STM32单片机抢答器设计

**单片机设计介绍&#xff0c; 基于STM32单片机抢答器设计-Proteus仿真 文章目录 一 概要二、功能设计设计思路 三、 软件设计原理图 五、 程序六、 文章目录 一 概要 基于STM32单片机的抢答器设计可以用于教育和培训场景中的抢答游戏或考试环节。以下是一个基本的介绍设计步骤…

stm32超声波测距不准的解决方法(STM32 delay_us()产生1us)及stm32智能小车超声波测距代码(C语言版本)

首先要说明一下原理&#xff1a;使用stm32无法准确产生1us的时间&#xff0c;但是超声波测距一定要依赖时间&#xff0c;时间不准&#xff0c;距离一定不准&#xff0c;这是要肯定的&#xff0c;但是在不准确的情况下&#xff0c;要测量一个比较准确的时间&#xff0c;那么只能…

通讯协议学习之路(实践部分):UART开发实践

通讯协议之路主要分为两部分&#xff0c;第一部分从理论上面讲解各类协议的通讯原理以及通讯格式&#xff0c;第二部分从具体运用上讲解各类通讯协议的具体应用方法。 后续文章会同时发表在个人博客(jason1016.club)、CSDN&#xff1b;视频会发布在bilibili(UID:399951374) 本文…

stm32 - Cortex

stm32 - Cortex 概念Cortex-M4 的工作模式和工作状态寄存器 概念 Cortex-M4 的工作模式和工作状态 处理模式 当处理器发生了异常或者中断&#xff0c;则进入处理模式进行处理&#xff0c;处理完成后返回到线程模式 权限大&#xff0c;访问处理器中所有的资源 线程模式 芯片复…

stm32单片机无法驱动sg90舵机

如果使用调试器调试sg90的时候&#xff0c;也许会遇到舵机没有反应的情况&#xff0c;这个时候可以把调试器断开&#xff0c;直接使用usb线接到单片机上面&#xff0c;舵机就会开始动&#xff0c;需要注意的是3.3V也是可以驱动sg90的&#xff0c;虽然标的是5V

STM32H750之FreeRTOS学习--------(六)FreeRTOS的列表和列表项

六、FreeRTOS的列表和列表项 文章目录 六、FreeRTOS的列表和列表项列表相关结构体列表项相关结构体迷你列表项列表相关API函数介绍初始化列表vListInitialise()函数vListInitialiseItem()函数vListInsert()函数 vListInsertEnd()函数 uxListRemove() 列表就是一个双向链表&…

正点原子嵌入式linux驱动开发——Linux ADC驱动

在之前的笔记中&#xff0c;学习了如何给ICM20608编写IIO驱动&#xff0c;ICM20608本质就是ADC&#xff0c;因此纯粹的ADC驱动也是IIO驱动框架的。本章就学习一下如何使用STM32MP1内部的ADC&#xff0c;并且在学习巩固一下IIO驱动。 ADC简介 ADC ADC&#xff0c;Analog to D…

正点原子嵌入式linux驱动开发——Linux DAC驱动

上一篇笔记中学习了ADC驱动&#xff0c;STM32MP157 也有DAC外设&#xff0c;DAC也使用的IIO驱动框架。本章就来学习一下如下在Linux下使用STM32MP157上的DAC。 DAC简介 ADC是模数转换器&#xff0c;负责将外界的模拟信号转换为数字信号。DAC刚好相反&#xff0c;是数模转换器…

【正点原子STM32连载】 第五十一章 汉字显示实验 摘自【正点原子】APM32F407最小系统板使用指南

1&#xff09;实验平台&#xff1a;正点原子stm32f103战舰开发板V4 2&#xff09;平台购买地址&#xff1a;https://detail.tmall.com/item.htm?id609294757420 3&#xff09;全套实验源码手册视频下载地址&#xff1a; http://www.openedv.com/thread-340252-1-1.html## 第五…

EXIT(1)

EXTI介绍 EXTI是片上外设 NVIC是cpu内的外设 回忆起之前的GPIO和AFIO 我们是如何检测按键按下的 我们是一直用while循环读取IDR寄存器的对应位置的值 一直检测判断按键是否被按下 那么是否有第二种方式检测按键是否被按下了呢&#xff1f; 通过EXTI 当EXTI检测到按键的电平发生…

合肥中科深谷嵌入式项目实战——基于ARM语音识别的智能家居系统(二)

目录 基于ARM语音识别的智能家居系统 练习一 一、程序编译 练习二&#xff1a; 二、文件IO 三、文件IO常用API接口函数 1、打开文件 open&#xff08;&#xff09; 2、将数据内容写入文件 write&#xff08;&#xff09; 3、关闭&#xff08;保存&#xff09;文件 四、…

【STM32单片机】比赛计时计分系统设计

文章目录 一、功能简介二、软件设计三、实验现象联系作者 一、功能简介 本项目使用STM32F103C8T6单片机控制器&#xff0c;使用OLED显示模块、矩阵按键模块、蜂鸣器等。 主要功能&#xff1a; 系统运行后&#xff0c;OLED默认显示第1节次比赛时间、AB得分。默认是12分钟倒计时…

【STM32】FreeModbus 移植Modbus-RTU从机协议到STM32详细过程

背景 FreeModbus是一款开源的Modbus协议栈&#xff0c;但是只有从机开源&#xff0c;主机源码是需要收费的。 第一步&#xff1a;下载源码 打开.embedded-experts的官网&#xff0c;连接如下&#xff1a; https://www.embedded-experts.at/en/freemodbus-downloads/ 其中给出…

STM32中独立看门狗和窗口看门狗的使用方法

独立看门狗&#xff08;Independent Watchdog&#xff0c;IWDG&#xff09;和窗口看门狗&#xff08;Window Watchdog&#xff0c;WWDG&#xff09;是STM32微控制器中提供的两种看门狗定时器。看门狗定时器是一种硬件计时器&#xff0c;用于监视系统的运行状态&#xff0c;并在…

基于STM32的物联网体感控制机械臂

摘 要 随着我国微型电子技术和嵌入式系统的发展&#xff0c;目前行业内相对比较传统的机械臂无法满足客户的需求。为了改进传统机械臂在控制上得短板问题&#xff0c;在本次毕业设计中&#xff0c;将使用相对先进、快捷、智能的控制机制。该系统的控制大脑为核心控制器32系列嵌…

Gazebo 从 Ignition 迁移指南

系列文章目录 文章目录 系列文章目录前言一、概览1.1 变化1.2 Tick-tocks and Hard-tocks1.3 Tick-tocks1.3.1 Namespaces&#xff08;命名空间&#xff09;1.3.2 Source&#xff08;源文件&#xff09;1.3.3 CMake and Packaging&#xff08;CMake 和打包&#xff09;1.3.4 Mi…

基于单片机智能浇花系统仿真设计

**单片机设计介绍&#xff0c; 基于单片机智能浇花系统仿真设计 文章目录 一 概要二、功能设计设计思路 三、 软件设计原理图 五、 程序六、 文章目录 一 概要 基于单片机的智能浇花系统可以实现自动化浇水、测土湿度和温度等功能&#xff0c;以下是一个基本的仿真设计步骤&am…

基于stm32f103系列的简单软件I2C和硬件I2C通讯

这篇文章主要分为三个部分来阐述&#xff0c;分别是I2C的基本知识&#xff0c;软件I2C通讯&#xff0c;硬件I2C通讯。I2C的基本知识这一块&#xff0c;部分讲解以及图表来自b站江科大的up&#xff0c;很感谢这位up&#xff0c;大家可以关注一波。操作实现的时候&#xff0c;up使…

esp-01刷固件/下载软件到内部单片机的方法

此文章为转载&#xff0c;非原创 一、准备 需要准备三个东西&#xff1a; 1.esp模块。ESP-01 和 ESP-01s 的引脚及 flash 容量基本完全兼容&#xff0c;只是内部硬件设计粗糙与否的区别&#xff0c;所以理论上都适用。 2.官方固件。此部分可以从安信可官方教程中下载&#xff0…

stm32 WIFI模块_8266使用

使用以上配置可以正常回应&#xff0c;其中无论勾选或者不勾选DTR/RTS都可以得到正常回应 ATCWMODE?表示查询当前WiFi状态是处于热点模式&#xff08;AP模式&#xff09;或者是连接其他WiFi的那个模式。通过图片看出这个符号不能省略。 设置AP热点命令格式&#xff1a;ATCWSAP…

P36[11-1]SPI通信协议

SPI相比于IIC的优缺点: 1.SPI传输速度快(IIC高电平驱动能力较弱,因此无法高速传输) 2.使用简单 3.通信线多 SCK(SCLK,CK,CLK):串行时钟线 MOSI(DO):主机输出,从机输入 MISO(DI): 主机输入,从机输出 SS(NSS,CS):从机选择(有多少个从机,主机就要用几根SS分别与从机连接…

解决STM32F429烧录程序后还需复位才能植入程序的bug

1.打开魔术棒&#xff0c;打开debug 2.打开setting 3.打开Flas Download 4.开启Reset and Run 5.点进去Pack选项页面&#xff0c;去掉enable

基于STM32的无线通信系统设计与实现

【引言】 随着物联网的迅速发展&#xff0c;无线通信技术逐渐成为现代通信领域的关键技术之一。STM32作为一款广受欢迎的微控制器&#xff0c;具有丰富的外设资源和强大的计算能力&#xff0c;在无线通信系统设计中具有广泛的应用。本文将介绍如何基于STM32实现一个简单的无线通…

正点原子嵌入式linux驱动开发——Linux IIO驱动

工业场合里面也有大量的模拟量和数字量之间的转换&#xff0c;也就是常说的ADC和DAC。而且随着手机、物联网、工业物联网和可穿戴设备的爆发&#xff0c;传感器的需求只持续增强。比如手机或者手环里面的加速度计、光传感器、陀螺仪、气压计、磁力计等&#xff0c;这些传感器本…

AD教程 (十八)导入常见报错解决办法(unkonw pin及绿色报错等)

AD教程 &#xff08;十八&#xff09;导入常见报错解决办法&#xff08;unkonw pin及绿色报错等&#xff09; 常见报错解决办法 绿色报错 可以先按TM&#xff0c;复位错位标识绿色报错原因一般是由于规则冲突的原因&#xff0c;和规则冲突就会报错 点击工具&#xff0c;设计…

基于PLC的自动洗碗机控制系统(论文+源码)

1.系统设计 本课题基于PLC的自动洗碗机控制系统&#xff0c;在此将主要功能设定如下&#xff1a; 通过上下喷头旋转喷水湿润餐具&#xff1b;添加洗涤剂&#xff08;洗碗液&#xff09;&#xff1b;上下喷头喷水洗涤餐具&#xff1b;排出污水&#xff1b;往碗碟上喷洒更多的水…

【Proteus仿真】【STM32单片机】防火防盗GSM智能家居设计

文章目录 一、功能简介二、软件设计三、实验现象联系作者 一、功能简介 本项目使用Proteus8仿真STM32单片机控制器&#xff0c;使用声光报警模块、LCD1602显示模块、DS18B20温度、烟雾传感器模块、按键模块、PCF8591 ADC模块、红外检测模块等。 主要功能&#xff1a; 系统运行…

STM32 HAL库函数HAL_SPI_Receive_IT和HAL_SPI_Receive的区别

背景 前段时间开发一个按键板驱动&#xff0c;该板用的STM32F103系列单片机&#xff0c;前任工程师用STM32CubeMX生成的工程&#xff0c;里面全是HAL库调用&#xff0c;我接手后&#xff0c;学习了下HAL库的用法&#xff0c;踩坑不少&#xff0c;特别是带IT后缀的函数&#xf…

RT-Thread基于STM32H743的网络通信调试

使用STM32H743开发网络通信&#xff0c;本以为会很简单&#xff0c;实际却遇到好多问题&#xff0c;记录一下&#xff0c;以备后续查看。 1.新建工程&#xff0c;系统版本选择的是4.1.1&#xff0c;芯片型号是STM32H743IIK6. 2.修改系统时钟&#xff0c;使用外部25MHz晶振&…

【智能家居项目】FreeRTOS版本——多任务系统中使用DHT11 | 获取SNTP服务器时间 | 重新设计功能框架

&#x1f431;作者&#xff1a;一只大喵咪1201 &#x1f431;专栏&#xff1a;《智能家居项目》 &#x1f525;格言&#xff1a;你只管努力&#xff0c;剩下的交给时间&#xff01; 目录 &#x1f353;多任务系统中使用DHT11&#x1f345;关闭调度器&#x1f345;使用中断 &am…

同旺科技 USB 转 RS-485 适配器 -- 隔离型(定制款)

内附链接 1、USB 转 RS-485 适配器 隔离版主要特性有&#xff1a; ● 支持USB 2.0/3.0接口&#xff0c;并兼容USB 1.1接口&#xff1b; ● 支持USB总线供电&#xff1b; ● 支持Windows系统驱动&#xff0c;包含WIN10 / WIN11 系统32 / 64位&#xff1b; ● 支持Windows …

近期的工作生活

这几个月因为忙于单位的新项目所以很久没有更新博客了&#xff0c;面对新的需求和新的挑战也需要不断学习新的知识&#xff0c;甚至推翻老的惯性思维&#xff0c;这时想到一个老同事说过&#xff1a;研发如果不能迎难而上&#xff0c;那基本不太会有什么提高了。 确实每个人都或…

二、ST-Link驱动的安装

1、灵动mm32单片机 (1)上海灵动微电子股份有限公司 (2)mm32单片机支持ST-Link下载程序。 2、ST-Link驱动的安装 (1)下载地址 ST-Link 官网下载地址 (2)点击获取软件下载ST-Link驱动。(需要登陆ST官网账户) (3)下载后解压&#xff0c;根据电脑位数安装 .exe 文件即可。 6…

基于STM32的外部中断(EXTI)在嵌入式系统中的应用

外部中断&#xff08;External Interrupt&#xff0c;EXTI&#xff09;是STM32嵌入式系统中常见且重要的功能之一。它允许外部事件&#xff08;例如按键按下、传感器触发等&#xff09;通过适当的引脚触发中断&#xff0c;从而应用于各种嵌入式系统中。在STM32微控制器中&#…

基于STM32的多组外部中断(EXTI)的优化策略与应用

在某些嵌入式应用中&#xff0c;可能需要同时处理多个外部中断事件。STM32系列微控制器提供了多组外部中断线&#xff08;EXTI Line&#xff09;&#xff0c;可以同时配置和使用多个GPIO引脚作为外部中断触发器。为了有效管理和处理多组外部中断&#xff0c;我们可以采取一些优…

RT-Thread STM32F407 BMI088--SPI

BMI088是一款高性能6轴惯性传感器&#xff0c;由16位数字三轴24g加速度计和16位数字三轴2000/ s陀螺仪组成。 这里用SPI来驱动BMI088进行数据解读 第一步&#xff0c;首先在 RT-Thread Settings中进行配置 第二步&#xff0c;退出RT-Thread Settings&#xff0c;进入board.h…

通过汇编理解cortex-m3:第0章

第0章&#xff1a;准备工作 基本想法&#xff1a;利用汇编和gdb调试&#xff0c;来学习cortex-m3汇编指令&#xff0c;以及一些寄存器的功能。 软件和硬件&#xff1a; 硬件&#xff1a;韦东山瑞士军刀中的最小核心板&#xff08;STM32F103C8T6&#xff09; STLINK-V2&#…

STM32CubeMX学习笔记-CAN接口使用

STM32CubeMX学习笔记-CAN接口使用 CAN总线传输协议1.CAN 总线传输特点2.位时序和波特率3.帧的种类4.标准格式数据帧和遥控帧从STM32F407参考手册中可以看出主要特性如下CAN模块基本控制函数CAN模块消息发送CAN模块消息接收标识符筛选发送中断的事件源和回调函数 CubeMX项目设置…

海康摄像头通过stm32叠加字符串

通过网络发送http叠加数据海康摄像头,海康加密方式是base64加密 void putDataTohaikang(putDataInfo datainfo,char *strReturn) { // char xml[2048]="\0"; // sprintf(strReturn,"<TextOverlayList version=\"2.0\" xmlns=\&quo…

JDY蓝牙注意事项

波特率设置&#xff1a;9600&#xff0c;不接受115200&#xff0c;或者38400. 不同于WiFi测试&#xff0c;jdy蓝牙不接受AT"指令&#xff0c;可以使用“ATVERSION"指令测试 安信可公司的那个蓝牙指令在这里没有用&#xff0c;不知道是不是生产的公司不一样

基于单片机的公共场所马桶设计(论文+源码)

1.系统设计 本课题为公共场所的马桶设计&#xff0c;其整个系统架构如图2.1所示&#xff0c;其采用STC89C52单片机为核心控制器&#xff0c;结合HC-SR04人体检测模块&#xff0c;压力传感器&#xff0c;LCD1602液晶&#xff0c;蜂鸣器&#xff0c;L298驱动电路等构成整个系统&…

宇视科技通过stm32叠加字符串

void sendtoYskj(uint8_t *cameraIp,uint16_t cameraSrcPort,uint16_t cameraDstPort,uint8_t *userName,uint8_t *pwd,uint8_t lineNum,int camNo)//宇视科技 { int flag = 1; int sock = -1,connected; int send_data_len; int recv_data_len; //char str…

ros2工作空间

我们先不管ros2工作空间是什么样子的&#xff0c;如果是我自己来搞一个工作空间&#xff0c;我一定是这样安排 一个文件夹用来放自己存放的文件&#xff0c;。。。。。。。。。。对应src文件夹 一个文件夹用来放编译后的文件&#xff0c;。。。。。。。。。。。对应intall文件…

51单片机/STM32F103/STM32F407学习1_点亮LED灯

目录&#xff1a; 基础知识单片机从0实现单片机GPIO介绍 参考连接&#xff1a; 野火霸天虎教程 https://doc.embedfire.com/products/link/zh/latest/mcu/stm32/ebf_stm32f407_batianhu_v1_v2/download/stm32f407_batianhu_v1_v2.html x.1 基础知识 x.1.1 指针中的取地址&a…

STM32定时器输入捕获测量高电平时间

STM32定时器输入捕获测量高电平时间 输入捕获测量高电平时间CuebMX配置代码部分 本篇内容要求读者对STM32通用定时器有一点理解&#xff0c;如有不解&#xff0c;请看 夜深人静学32系列15——通用定时器 输入捕获 输入捕获是STM32通用定时器的一种功能&#xff0c;可以捕获特定…

华为摄像头通过stm32叠加字符串

void sendtoHuawei(uint8_t *cameraIp,uint16_t cameraSrcPort,uint16_t cameraDstPort,uint8_t *userName,uint8_t *pwd,uint8_t lineNum,int camNo)//华为 { int flag = 1; int sock = -1,connected; uint8_t ret; int recv_data_len; memset(str1, 0, …

ros2机器人上位机与下位机连接方式(转载)

从硬件连接、通信协议和软件设计开发&#xff0c;上位机如何控制下位机&#xff1f; 由你创科技2023-09-07 10:38广东 随着科技的不断发展&#xff0c;自动化控制系统已经广泛应用于各个行业。在自动化控制系统中&#xff0c;上位机和下位机是两个重要的组成部分。上位机主要…

ROS2串口通讯serial库(适用于humble版本)

要的串口操作的API介绍在这里&#xff1a;serial: serial::Serial Class Reference (wjwwood.io) 但是我们不是直接利用上面这个东西&#xff0c;而是使用的是根据这个改写的一个针对ros2的一个serial库&#xff0c;这个serial库是根据上面这个库改写来的&#xff0c;ros2的库在…

【STM32外设系列】JW01三合一空气质量检测模块

&#x1f380; 文章作者&#xff1a;二土电子 &#x1f338; 关注公众号获取更多资料&#xff01; &#x1f438; 期待大家一起学习交流&#xff01; 文章目录 一、JW01模块简介二、数据格式介绍三、程序设计3.1 串口初始化3.2 串口接收中断服务函数3.3 数据解析函数 四、其他…

超详细!新手必看!STM32-通用定时器简介与知识点概括

一、通用定时器的功能 在基本定时器功能的基础上新增功能&#xff1a; 通用定时器有4个独立通道&#xff0c;且每个通道都可以用于下面功能。 &#xff08;1&#xff09;输入捕获&#xff1a;测量输入信号的周期和占空比等。 &#xff08;2&#xff09;输出比较&#xff1a;产…

ros2不同机器通讯时IP设置

看到这就是不同机器的IP地址&#xff0c;为了避免在路由器为不同的机器使用DHCP分配到上面的地址&#xff0c;可以设置DHCP分配的范围&#xff1a;&#xff08;我的路由器是如下设置的&#xff0c;一般路由器型号都不一样&#xff0c;自己找一下&#xff09; 防火墙设置-----&…

基于STM32的数字图像处理与模式识别算法优化

基于STM32的数字图像处理与模式识别算法优化是一项涉及图像处理和机器学习领域的研究任务&#xff0c;旨在实现高效的图像处理和模式识别算法在STM32微控制器上的运行。本文将介绍基于STM32的数字图像处理与模式识别算法优化的原理和实现步骤&#xff0c;并提供相应的代码示例。…

【STM32外设系列】GPS定位模块(ATGM336H)

&#x1f380; 文章作者&#xff1a;二土电子 &#x1f338; 关注公众号获取更多资料&#xff01; &#x1f438; 期待大家一起学习交流&#xff01; 文章目录 一、GPS模块简介二、使用方法2.1 引脚介绍2.2 数据帧介绍2.3 关于不同的启动方式 三、前置知识3.1 strstr函数3.2…

STM32分区跳转问题

项目场景&#xff1a; 在OTA中&#xff0c;FLASH通常被划分为以下几种类型 bootloaderiapappbootloaderappapp保存区bootloaderapp1app2 不同的分区方式有不同的有点&#xff0c;但是共同点都是需要执行分区跳转 问题1描述 但在分区跳转过程中遇到过使用不同的编译器不能跳转…

STM32F103C8T6第6天:adc、iic、spi、温湿度dht11在lcd1602显示

1. ADC介绍 ADC是什么&#xff1f; Analog-to-Digital Converter&#xff0c;指模拟/数字转换器 ADC的性能指标 量程&#xff1a;能测量的电压范围分辨率&#xff1a;ADC能辨别的最小模拟量&#xff0c;通常以输出二进制数的位数表示&#xff0c;比如&#xff1a;8、10、1…

STM32入门笔记15_PWR电源管理模块

PWR和低功耗模式 PWR简介 PWR(Power Control) 电源控制PWR负责管理STM32内部的电源供电部分&#xff0c;可以实现可编程电压检测器和低功耗模式的功能可编程电压检测器(PVD) 可以监控VDD电源电压&#xff0c;当VDD下降到PVD阈值以下或上升到PVD阈值之上时&#xff0c;PVD会触…

freeRTOS下载链接(sourceForge)

FreeRTOS Real Time Kernel (RTOS) download | SourceForge.net 文件名&#xff1a;FreeRTOSv202212.00.exe 双击后会自动变成这个样子的&#xff1a; 文件夹大小&#xff1a;506M 可以看到跟那个教程里面的文件结构是一模一样的&#xff0c;所以很可能是同一个最新版本的文件…

安装compiler version 5

这个compiler version5 在我的资源里面可以免费下载&#xff1b; 另外这个东西还需要安装&#xff0c;安装教程在这里&#xff1a;Keil最新版保姆教程&#xff08;解决缺少V5编译器问题&#xff09; - 哔哩哔哩 (bilibili.com) 看吧安装好了year

CAN实验

CAN 寄存器 HAL库函数 代码 #include "./BSP/CAN/can.h"CAN_HandleTypeDef g_can1_handle; CAN_TxHeaderTypeDef g_can1_txheader; CAN_RxHeaderTypeDef g_can1_rxheader;/* STM32F103 TS1 8 TS2 7 BRP 3 波特率&#xff1a;36000 / [(9 8 1) * 4] 500Kbps …

FreeRTOS-FreeRTOS概述

FreeRTOS FreeRTOS目录结构 移植过程 在工程中创建freertos文件夹&#xff0c;在freertos文件夹中创建src文件夹、inc文件夹、port文件夹。 freertos/src存放源码freertos/inc存放头文件freertos/port存放移植平台的相关文件 复制内存管理文件&#xff1a;复制FreeRTOS/Sourc…

FreeRTOS深入教程(信号量源码分析)

文章目录 前言一.创建信号量二.释放信号量三.获取信号量成功获取获取不成功 总结 前言 本篇文章将为大家讲解信号量&#xff0c;源码分析。 在 FreeRTOS 中&#xff0c;信号量的实现基于队列。这种设计的思想是利用队列的特性来实现信号量&#xff0c;因为信号量可以被视为只…

(HAL库版)freeRTOS移植STMF103

正点原子关于freeRTOS的教程是比较好的&#xff0c;可惜移植的是标准库&#xff0c;但是我学的是Hal库&#xff0c;因为开发速度更快&#xff0c;从最后那个修改SYSTEM文件夹的地方开始替换为下面的内容就可以了 5.修改Systick中断、SVC中断、PendSV中断 将SVC中断、P…

基于 STM32Cube.AI 的嵌入式人脸识别算法实现

本文介绍了如何使用 STM32Cube.AI 工具开发嵌入式人脸识别算法。首先&#xff0c;我们将简要介绍 STM32Cube.AI 工具和 STM32F系列单片机的特点。接下来&#xff0c;我们将详细讨论如何使用 STM32Cube.AI 工具链和相关库来进行人脸识别算法的开发和优化。最后&#xff0c;我们提…

蓝桥杯物联网竞赛_STM32L071_4_按键控制

原理图&#xff1a; 当按键S1按下PC14接GND&#xff0c;为低电平 CubMX配置: Keil配置&#xff1a; main函数&#xff1a; while (1){/* USER CODE END WHILE */OLED_ShowString(32, 0, "hello", 16);if(Function_KEY_S1Check() 1){ OLED_ShowString(16, 2, &quo…

基于单片机的智能鱼缸(论文+源码)

1.总体设计 在本次设计中&#xff0c;其系统整个框图如下图2.1所示。其主要的核心控制模块由单片机模块&#xff0c;LCD显示模块&#xff0c;喂食模块&#xff0c;蜂鸣器模块&#xff0c;按键模块&#xff0c;复位电路&#xff0c;抽水电路&#xff0c;加热电路&#xff0c;加…

STM32F103x TB6612FNG电机PID控制基础资料

TB6612FNG 是东芝半导体公司生产的一款直流电机驱动器件&#xff0c;它具有大电流 MOSFET-H 桥结构&#xff0c;双通道电路输出&#xff0c;可同时驱动 2个电机。 相比 L298N 的热耗性和外围二极管续流电路&#xff0c;它无需外加散热片&#xff0c;外围电路简单&#xff0c;只…

micro_ros

原文链接Supported Hardware | micro-ROS Supported Hardware The main targets of micro-ROS are mid-range 32-bits microcontroller families. Usually, the minimum requirements for running micro-ROS in an embedded platform are memory constraints. Since memory u…

我的第一个Arduino点灯程序

我简直难以相信&#xff0c;什么都不用配置&#xff0c;就这么几行代码&#xff0c;就可以blink了 void setup() {// Set up the built-in LED pin as an output:pinMode(PA1, OUTPUT); }void loop() {digitalWrite(PA1,!digitalRead(PA1));// Turn the LED from off to on, o…

STM32 启动文件分析

STM32 启动文件分析 基于STM32F103VET6芯片的 startup_stm32f10x_hd.s 启动文件分析 设置栈&#xff0c;将栈的大小Stack_Size设置为0x00004900&#xff08;18688/102418KB&#xff09;&#xff0c;即局部变量不能大于18KB。&#xff08;EQU等值指令&#xff0c;将0x0000490…

基于单片机的可升降助眠婴儿床(论文+源码)

1.系统设计 本课题为基于单片机的可升降助眠婴儿床系统&#xff0c;在设计目标上确定如下&#xff1a; 1. 可以实现婴儿床的升降&#xff0c;摇床功能控制&#xff1b; 2. 具有音乐播放功能&#xff0c;并且有多首曲目&#xff1b; 3. 用户可以通过按键或者红外遥控&#x…

基于PLC的果园灌溉系统设计(论文+源码)

1.系统设计 系统示意图如图2-1所示。某一果园 共有3个灌溉区域&#xff0c;分别为灌溉1#区&#xff0c;灌溉2#区&#xff0c;灌溉3#区&#xff0c;分别使用不同湿度传感器检测湿度&#xff0c;用于各区域控制湿度&#xff0c;进行灌溉&#xff0c;使用相应的灌溉阀进行灌溉。这…

嵌入式开发技术栈总结

嵌入式系统 嵌入式系统&#xff1a;以应用为中心&#xff0c;以计算机技术为基础&#xff0c;软件硬件可裁剪&#xff0c;适应应用系统对功能、可靠性、成本、体积、功耗严格要求的专用计算机系统 芯片体系结构 X86 体系结构 ARM 体系结构 MIPS 体系结构 操作系统 什么是…

stm32---串口使用

### 串口数据发送 #include <string.h> //先引用这个字符串操作库。char str[]" HALLO WORD "&#xff1b; //定义这个数组字符串。HAL_UART_Transmit(&huart2, str, strlen(str), 100); //&huart2,这里他是一个指针&#xff0c;所以要用取地址符…

同旺科技 USB 转 RS-485 适配器 -- 隔离型

内附链接 1、USB 转 RS-485 适配器 隔离版主要特性有&#xff1a; ● 支持USB 2.0/3.0接口&#xff0c;并兼容USB 1.1接口&#xff1b; ● 支持USB总线供电&#xff1b; ● 支持Windows系统驱动&#xff0c;包含WIN10 / WIN11 系统32 / 64位&#xff1b; ● 支持Windows …

基于单片机的智能饮水机控制系统(论文+源码)

1. 系统设计 本次智能饮水机控制系统的设计研究一款以STC89C52单片机为核心的智能饮水机控制系统&#xff0c;其主要功能设计如下&#xff1a; 1.该饮水机利用DS18B20数字温度传感器实时采集饮水机内水的温度&#xff0c;其检测温度范围为0-100℃&#xff0c;精度0.1℃&#…

基于单片机的烟雾检测报警装置(论文+源码)

1.系统设计 &#xff08;1&#xff09;利用传感器实现环境中温度、烟雾浓度的实时检测&#xff1b; &#xff08;2&#xff09;系统检测的各项数据信息通过液晶模块进行显示&#xff0c;提高设计可视化&#xff1b; &#xff08;3&#xff09;系统可以根据实际情况利用按键模…

基于STM32单片机的智能家居系统设计(论文+源码)

1.系统设计 基于STM32单片机的智能家居系统设计与实现的具体任务&#xff1a; &#xff08;1&#xff09;可以实现风扇、窗帘、空调、灯光的开关控制&#xff1b; &#xff08;2&#xff09;具有语音识别功能&#xff0c;可以通过语音控制家电&#xff1b; &#xff08;3&a…

STM32硬件调试器不一定准确,proteus不一定准确

我在做实验的过程中&#xff0c;发现里面的那个变量ii一直都不变搞了很久没有发现问题&#xff0c; 然后怀疑是不是软件出了问题&#xff0c;然后直接只用单片机的一个灯泡来检测是否正常&#xff0c;发现&#xff1a;单片机里面正常&#xff0c;但是硬件调试的时候&#xff0…

ros2文件package.xml与cmakelists.txt比较

每次在ros2里面添加文件以后&#xff0c;都要修改packages.xml,与cmakelists.txt文件。

【STM32单片机】LED点阵花样显示设计

文章目录 一、功能简介二、软件设计三、实验现象联系作者 一、功能简介 本项目使用STM32F103C8T6单片机控制器&#xff0c;使用8*8LED点阵模块、按键模块等。 主要功能&#xff1a; 系统运行后&#xff0c;默认以静态模式显示&#xff0c;此时点阵左右循环切换图像。 当按下K…

ros2智能小车中STM32地盘需要用到PWM的模块

我做的地盘比较简单&#xff0c;使用了一下模块&#xff1a; 4个直流减速电机&#xff0c;&#xff08;每个模块用到了一个PWM&#xff09;---这会通过L298N的ENA,ENB来实现控制 光电对射测速模块&#xff08;不用PWM) 超声波测距模块&#xff08;不用PWM&#xff0c;只需要…

蓝桥杯物联网竞赛_STM32L071_5_串口接收发送数据

理论&#xff1a; 串口采取异步通信&#xff0c;即不依赖时钟节拍来接收或发送数据&#xff0c;而是采用互相约定的波特率传输数据。 波特率与单位时间传输的比特数有关&#xff0c;波特率越大传输的数据越多 传输一个比特花费的时间T 1 / 比特率 接受和发送数据的时候需要…

u8g2图形库——丝滑菜单制作

目录 一、实物效果展示 二、丝滑菜单实现原理 三、代码开源 1.ui_bmp.h 2.ui.h 3.ui.c 一、实物效果展示 u8g2图形库——丝滑菜单制作 二、丝滑菜单实现原理 int ui_run(short *a,short *a_tag,uint8_t step,uint8_t slow_cnt) //UI滑动效果 {uint8_t temp;…

4、RTC 实时时钟Demo(STM32F407)

RTC是个独立的BCD定时器/计数器。RTC 提供一个日历时钟&#xff0c;两个可编程闹钟中断&#xff0c;以及一个具有中断功能的周期性可编程唤醒标志。RTC还包含用于管理低功耗模式的自动唤醒单元。 (RTC实质&#xff1a;一个掉电(主电源)后还继续运行(由VBAT供电)的32位的向上计…

STM32学习笔记--闪存Flash

STM32F1系列的FLASH包含程序存储器、系统存储器和选项字节三个部分&#xff0c;通过闪存存储器接口&#xff08;外设&#xff09;可以对程序存储器和选项字节进行擦除和编程。 读写FLASH的用途&#xff1a;利用程序存储器的剩余空间来保存掉电不丢失的用户数据 &#xff0c;通过…

C语言实现ARM MCU SWD离线调试器

以下是一个使用C语言实现ARM MCU SWD离线调试器的示例代码&#xff0c;该代码可以读取目标设备的寄存器和内存&#xff1a; #include <stdio.h> #include <stdlib.h> #include <stdint.h> #include <string.h>#define SWDIO_PIN 0 #define SWCLK_PIN …

STM32 超声波模块(HC-SR04)

HC-SR04介绍 典型工作电压&#xff1a;5v &#xff08;如果你的超声波模块没有工作&#xff0c;可以看一下是不是电压不够&#xff09;超小静态工作电流&#xff1a;<2mA 感应角度&#xff1a;<15 &#xff08;超声波模块&#xff0c;是一个范围式的探…

【STM32】TIM定时器

第一部分&#xff1a;定时器基本定时的功能&#xff1b; 第二部分&#xff1a;定时器的输出比较功能&#xff1b; 第三部分&#xff1a;定时器输入捕获的功能&#xff1b; 第四部分&#xff1a;定时器的编码接口。 1 TIM简介 TIM&#xff08;Timer&#xff09;定时器&#…

瞥一眼 FAT32,手撸文件系统

FAT 32 FAT(File Allocation Table)&#xff0c;最早在DOS v1.0 中被引入&#xff0c;是一种极简的文件系统&#xff0c;占用空间&#xff0c;是目前最常见的文件系统之一。 发展历程 FATX系列文件系统的不同版本都是针对不同的文件存储介质&#xff08;storage media&#…

STM32——串口

串口发送/接收函数&#xff1a; HAL_UART_Transmit(); 串口发送数据&#xff0c;使用超时管理机制 HAL_UART_Receive(); 串口接收数据&#xff0c;使用超时管理机制 HAL_UART_Transmit_IT(); 串口中断模式发送 HAL_UART_Receive_IT(); 串口中断模式接收 HAL_UART_Tran…

STM32系统滴答定时器SysTick实现精确ms和us延时

时间记录&#xff1a;2023/12/11 一、时间的计算 STM32F103C8T6单片机为例&#xff0c;系统时钟为72MHz&#xff0c;则一个时钟周期为(1/72M)秒&#xff0c;即1/72us 二、寄存器介绍 &#xff08;1&#xff09;CTRL控制及状态寄存器 位段名称描述16COUNTFLAG当计数到0时,该位置…

基于单片机的血氧心率检测与报警系统研制(论文+源码)

1. 系统设计 本次课题为基于单片机的血氧心率检测与报警系统研制&#xff0c;在此设计了如图2.1所示的系统结构框图&#xff0c;整个系统包括了MAX30102心率血氧检测模块&#xff0c;DS18B20体温检测模块&#xff0c;液晶显示模块&#xff0c;按键以及主控制器stm32f103单片机…

stm32中断概览

stm32中断应用概览 文章目录 stm32中断应用概览异常类型系统异常类型清单 **NVIC** 简介NVIC寄存器简介 优先级的定义优先级分组 中断编程补充说明补充说明 异常类型 系统异常类型清单 F103外部中断清单 NVIC 简介 NVIC 是嵌套向量中断控制器&#xff0c;控制着整个芯片中断相…

STM32汇编启动文件,F1与F4启动文件比较

文章目录 F103启动文件F407启动文件F429启动文件后续更新 启动文件区别汇编指令解析 F103启动文件 ;******************** (C) COPYRIGHT 2011 STMicroelectronics ******************** ;* File Name : startup_stm32f10x_hd.s ;* Author : MCD Applica…

基于单片机的火灾报警器 (论文+源码)

1.系统设计 本系统由火灾检测模块、A/D转换模块、信号处理模块、声光报警模块和灭火装置模块组成。火灾检测模块由温度检测和烟雾检测构成&#xff0c;其温度传感器选用DS18B20&#xff0c;烟雾传感器选用MQ-2烟雾传感器。A/D转换模块选用常用的模数转换芯片ADC0832。声光报警模…

C++[面向对象的程序设计]_基础入门(中)(万字总结)(建议收藏!!!)

目录 2. C 核心编程 2.1 程序的内存模型 2.1.1 内存四区 - 代码区 2.1.2 内存四区 - 全局区 2.1.3 内存四区 - 栈区 2.1.4 内存四区 - 堆区 2.1.5 new 运算符 2.2 C 中的引用 2.2.1 引用的基本语法 2.2.2 引用的注意事项 2.2.3 引用做函数参数 2.2.4 引用做函数返回…

STM32 LCD 简单显示彩色图片

STM32 LCD 数组方式简单显示彩色图片 文章目录 STM32 LCD 数组方式简单显示彩色图片前言1、图片处理1.1 准备图片1.2 查看和调整图片大小 2、Picture2Hex软件使用3、函数代码实现3、图片显示效果4、显示图片太大会报错总结 前言 在使用LCD填充的时候发现正点原子提供了一个很好…

stm32F407-GPIO的使用——点亮LED并且讲解各个寄存器

stm32F407-GPIO的使用——点亮LED并且讲解各个寄存器 本文为stm32GPIO的介绍与使用&#xff0c;例子是简单的LED点亮。 一、 GPIO GPIO&#xff08;General Purpose I/O Ports&#xff09;意思为通用输入/输出端口&#xff0c;通俗地说&#xff0c; 就是一些引脚&#xff0c;可…

解决keil右键Go To Definition跳转不过去的问题

解决&#xff1a; 在魔法棒中如图所示打上√

030 - STM32学习笔记 - ADC(四) 独立模式多通道DMA采集

030 - STM32学习笔记 - ADC&#xff08;四&#xff09; 独立模式多通道DMA采集 中断模式和DMA模式进行单通道模拟量采集&#xff0c;这节继续学习独立模式多通道DMA采集&#xff0c;使用到的引脚有之前使用的PC3&#xff08;电位器&#xff09;&#xff0c;PA4&#xff08;光敏…

Day03 嵌入式---中断

目录 一、简单介绍 二、总体框架 三、NVIC 3.2 NVIC的寄存器 3.3 中断向量表 3.4 中断优先级 3.5 NVIC优先级分组 3.6 NVIC配置 3.6.1、设置中断分组 3.6.2、初始化 四、EXTI 外部中断 4.1.EXTI的基本概念 4.2.EXTI的⼯作原理 4.3 EXTI配置 五、SYSCFG 5.1 SYS…

误用STM32串口发送标志位 “USART_FLAG_TXE” “USART_FLAG_TC”造成的BUG

当你使用串口发送数据时是否出现过这样的情况&#xff1a; 1.发送时第一个字节丢失。 2.发送时出现莫名的字节丢失。 3.各种情况字节丢失。 1.先了解一下串口发送的流程图&#xff08;手动描绘&#xff09;&#xff1a; 可以假想USART_FLAG_TXE是用于检测"弹仓"&…

蓝桥杯物联网竞赛_STM32L071_8_ADC扩展模块

原理图&#xff1a; 扩展模块原理图&#xff1a; RP1和RP2分别对应着AIN1和AIN2&#xff0c;扭动它们&#xff0c;其对应滑动变阻器阻值也会变化 实验板接口原理图&#xff1a; 对应实验板接口PB1和PB0 即AN1对应PB1, AN2对应PB0 CubMx配置&#xff1a; ADC通道IN8和IN9才对…

【STM32】电机驱动

一、电机分类 二、直流电机的分类 1.有刷电机 2.无刷电机 3.直流减速电机 三、H桥电路 正向旋转 驱动Q1和Q4 反向旋转 驱动Q2和Q3 四、MC3386电机驱动芯片 1.基本原理图 1&#xff09;前进/后退&#xff1a;IN1和IN2的电平顺序决定电机的正反转 2&#xff09;调节速度&#…

【电机控制】PMSM无感foc控制(六)相电流检测及重构 — 双电阻采样、三电阻采样

0. 前言 目前&#xff0c;永磁同步电机的电流信号采样方法应用较多的是分流电阻采样&#xff0c;包括单电阻、双电阻以及三电阻采样法。其中&#xff0c;单电阻采样上一章节已经讲解&#xff0c;这章讲双电阻以及三电阻电流采样法。 1. 双电阻采样 1.1 双电阻采样原理 双电阻采…

【电机控制】PMSM无感foc控制(五)相电流检测及重构 — 单电阻采样

0. 前言 相电流采样再FOC控制中是一个关键的环节&#xff0c;鉴于成本和易用性&#xff0c;目前应用较多的相电流采样方式是分流电阻采样&#xff0c;包括单电阻、双电阻以及三电阻采样法。 本章节先讲解单电阻采样相电流的检测及重构技术&#xff0c;在下一章讲解双电阻和三电…

stm32L071KB单片机字节对齐问题

字节对齐问题由来很关键 字节对齐问题由来 字节对齐问题由来 在移植同事代码的时候发现到一个赋值变量的地方就会出现死机&#xff0c;进入hardfault,怎么也找不不到原因&#xff0c;最后没办法去了github https://github.com/armink/CmBacktrace/blob/master/README_ZH.md Cm…

【STM32入门】3.OLED屏幕

1.OLED引脚 OLED屏幕的接线按图所示&#xff0c;本例中用的是4管脚OLED屏幕 2.驱动程序 配套的驱动程序是“OLED.c"&#xff0c;主要由以下函数构成&#xff1a;1、初始化&#xff1b;2、清屏&#xff1b;3、显示字符&#xff1b;4、显示字符串&#xff1b;5、显示数字…

STM32L051使用HAL库操作实例(13)- 读取IAQ-CORE-C传感器实例

目录 一、前言 二、传感器参数 三、STM32CubeMX配置&#xff08;本文使用的STM32CubeMX版本为6.1.2&#xff09;例程使用模拟I2C进行数据读取 1.MCU选型 2.使能时钟 3.时钟配置 4.GPIO口配置 四、配置STM32CubeMX生成工程文件 五、点击GENERATE CODE生成工程文件 六、…

Stm32 CubeIDE对RTC的日期、时间读写,后备存储的读写的部分做个补充说明

上一篇文章讲了Stm32 CubeIDE对RTC的日期、时间读写&#xff0c;后备存储的读写&#xff0c;发现几个问题&#xff0c;再次说明一下情况&#xff1a; 1.如果对RTC做初始化&#xff1a; hrtc.Instance RTC;hrtc.Init.HourFormat RTC_HOURFORMAT_24;hrtc.Init.AsynchPrediv 12…

STM32(DMA、DHT11)

1、DMA&#xff08;数据的搬运工&#xff09; DMA&#xff0c;全称为&#xff1a;Direct Memory Access&#xff0c;即直接存储器访问。DMA 传输方式无需 CPU 直接控制传输&#xff0c;也没有中断处理方式那样保留现场和恢复现场的过程&#xff0c;通过硬件为 RAM 与 I/O 设备开…

STM32关键词提取

STM32 STM32主要出现在嵌入式系统和物联网&#xff08;IoT&#xff09;开发中。 对于物联网&#xff08;IoT&#xff09;应用&#xff0c;STM32能够提供所需的连接性选项和处理能力。它可以支持各种通信协议&#xff0c;如Wi-Fi、蓝牙和低功耗无线技术&#xff08;如LoRaWAN&…

同旺科技 USB TO RS-485 定制款适配器--- 拆解(三)

内附链接 1、USB TO RS-485 定制款适配器 ● 支持USB 2.0/3.0接口&#xff0c;并兼容USB 1.1接口&#xff1b; ● 支持USB总线供电&#xff1b; ● 支持Windows系统驱动&#xff0c;包含WIN10 / WIN11系统32 / 64位&#xff1b; ● 支持Windows RT、Linux、Mac OS X、Windo…

【Stm32-F407】Keil uVision5 下新建工程

①双击鼠标左键打开Keil uVision5&#xff0c;选择 Project 下的 New uVision Project &#xff1b; ②在期望的文件夹下创建一个工程&#xff0c;并按如下要求操作&#xff1b; ③添加文件类型&#xff0c;按如下要求操作 ④如有需要可添加相关启动文件在工程文件夹下并添加到…

STM32的基本定时器注意点

本文介绍了STM32基本定时器3个重要的寄存器PSC、ARR、CNT&#xff0c;以及缓冲机制和计数细节。 基本定时器的框图 预分频器寄存器(TIMx_PSC)可以在运行过程中修改它的数值&#xff0c;新的预分频数值将在下一个更新事件时起作用。因为更新事件发生时&#xff0c;会把 TIMx_PS…

keil添加了头文件仍然报找不到头文件的原因

如图&#xff0c;我在user分组新建Item&#xff0c;可是keil提示头文件不存在&#xff0c;所有的一切设置都是对的&#xff0c;但就是找不到头文件&#xff0c;找了很久&#xff0c;最后才发现是user分组和文件系统中的文件夹不一致的原因。 如图&#xff0c;在分组的文件系统的…

CAN总线协议编程实例

1. can.h #ifndef __CAN_H #define __CAN_H#include "./SYSTEM/sys/sys.h"/******************************************************************************************/ /* CAN 引脚 定义 */#define CAN_RX_GPIO_PORT GPIOA #define CAN_RX_GPI…

高精度时钟芯片SD2405

概要 SD2405是一款非常优秀的RTC解决方案&#xff0c;为了能让用户在Arduino上有一款方便易用的时钟模块。该模块是一款内置晶振&#xff0c;支持IIC串行接口的高精度时钟模块&#xff1b;内置一次性工业级电池&#xff0c;可保证外部掉电的情况下&#xff0c;可以继续工作5~8…

STM32——定时器Timer

定时器工作原理 软件定时 缺点&#xff1a;不精确、占用 CPU 资源 void Delay500ms() //11.0592MHz {unsigned char i, j, k;_nop_();i 4;j 129;k 119;do{do{while (--k);} while (--j);} while (--i); } 使用精准的时基&#xff0c;通过硬件的方式&#xff0c;实现定时功…

STM32F103时钟树

STM32芯片时钟来源 RCC时钟树简图 RCC时钟树详细图 1&#xff0e;当HSI被用于作为PLL时钟的输入时&#xff0c;系统时钟能得到的最大频率是64MHz。 2&#xff0e;用户可通过多个预分频器配置AHB、高速APB(APB2)和低速APB(APB1)域的频率。AHB和 APB2域的最大频率是72MHz。APB1域…

单片机毕业设计应该如何选题

1. 解题 每年毕业季最苦的就是学生了&#xff0c;学校课程教不了什么有用的东西&#xff0c;毕设系统里林林总总的题目让人看得眼花&#xff0c;不同的选题可能决定你未来几个月轻轻松松还是呕心沥血地完成毕设&#xff0c;以及最后是否能拿到不错的分数顺利毕业&#xff0c;本…

STM32Cube高效开发教程<基础篇>(十二)----ADC

声明:本人水平有限,博客可能存在部分错误的地方,请广大读者谅解并向本人反馈错误。    本专栏博客参考《STM32Cube高效开发教程(基础篇)》,有意向的读者可以购买正版书籍辅助学习,本书籍由王维波老师、鄢志丹老师、王钊老师倾力打造,书籍内容干货满满。 一、功能概述 …

stm32学习总结:3、Proteus8+STM32CubeMX+MDK很有搞头

stm32学习总结&#xff1a;3、Proteus8STM32CubeMXMDK很有搞头 文章目录 stm32学习总结&#xff1a;3、Proteus8STM32CubeMXMDK很有搞头一、前言二、资料收集三、实际案例-点灯1、Proteus8安装2、Proteus创建stm32F013C6项目并添加外围LED电路3、STM32CubeMX配置F103C6引脚生成…

STM32_HAL库—IWDG看门狗

一、CubeMX设置 1、晶振配置&#xff08;72M&#xff09; 2、数据配置 超时时间 Tout prv / LSI * rlv (s) 其中prv是预分频器寄存器的值&#xff0c;rlv是重装载寄存器的值&#xff0c;而LSI值默认是40kHz&#xff0c;如下所示。 3、代码实现 int main(){while(1){HAL_IW…

STM32-新建工程(标准库)

目录 STM32F10x新建工程&#xff08;标准库&#xff09; 移植文件夹 新建工程 添加启动文件和必需文件 在工程中加载新添加的文件 在工程中添加文件路径 在工程中添加main函数 添加lib库 添加必需文件 添加宏定义 点亮LED&#xff08;标准库&#xff09; STM32F10x新…

STM32——超声波传感器

需求&#xff1a; 使用超声波测距&#xff0c;当手离传感器距离小于 5cm 时&#xff0c; LED1 点亮&#xff0c;否则保持不亮状态 接线&#xff1a; 定时器配置&#xff1a; 使用 TIM2 &#xff0c;只用作计数功能&#xff0c;不用作定时。 将 PSC 配置为 71 &#xff0c;…

【STM32】STM32学习笔记-GPIO相关API概述(06-1)

00. 目录 文章目录 00. 目录01. GPIO概述02. GPIO_Exported_Types03. GPIOSpeed_TypeDef04. GPIOMode_TypeDef05. GPIO_InitTypeDef06. BitAction07. GPIO_pins_define08. GPIO_Pin_sources09. GPIO_Port_Sources10. GPIO相关函数汇总11. GPIO_DeInit12. GPIO_AFIODeInit13. GP…

STM32F407-14.3.2-03 中心对齐模式

中心对齐模式&#xff08;递增/递减计数&#xff09; 在中心对齐模式下&#xff0c;计数器从 0 开始计数到自动重载值&#xff08;TIMx_ARR 寄存器的内容&#xff09;— 1&#xff0c;生成计数器上溢事件&#xff1b;然后从自动重载值开始向下计数到 1 并生成计数器下溢事件。之…

STM32单片机项目实例:基于TouchGFX的智能手表设计(5)硬件驱动层程序设计

STM32单片机项目实例&#xff1a;基于TouchGFX的智能手表设计&#xff08;5&#xff09;硬件驱动层程序设计 目录 一、 概述 二、 新建工程与外设配置 三、 TouchGFX配置 四、 增加TouchGFX关键驱动 一、 概述 本文内容主要进行工程新建&#xff0c;硬件外设的配置以及添加…

STM32-TIM定时器输出比较

目录 一、输出比较简介 二、PWM简介 三、输出比较通道&#xff08;通用&#xff09; 四、输出比较通道&#xff08;高级&#xff09; 五、输出比较模式 六、PWM基本结构 七、PWM参数计算 八、外设介绍 8.1 舵机 8.2 直流电机及驱动 九、开发步骤 十、输出比较库函数…

【STM32学习】硬件CRC与传统CRC-32计算的不同点

硬件CRC与传统CRC-32计算的不同点 1、stm32的硬件CRC32与传统CRC-32有何不同&#xff1f;2、解决办法 1、stm32的硬件CRC32与传统CRC-32有何不同&#xff1f; ①STM32F103的硬件CRC校验是对整个32位字进行CRC计算&#xff0c;传统的CRC-32是逐字节的计算。 ②STM32的硬件CRC32的…

CAN 七、CAN编程实战_阻塞发送中断接收

1、开发环境 (1)KeilMDK&#xff1a;V5.38.0.0 (2)STM32CubeMX&#xff1a;V6.8.1 (3)MCU&#xff1a;STM32F407ZGT6 (4)CAN盒&#xff1a; 2、实验目的 略 3、原理图 略 4、STM32CubeMX配置 略 5、KeilMDK软件编写 略

C51--小车——串口/蓝牙控制及点动

串口控制&#xff1a; 建立串口分文件&#xff1a;uart.c 和 uart.h文件声明函数 #include "reg52.h" #include "motor.h" #include "string.h"#define SIZE 12sfr AUXR 0x8E;char buffer[SIZE]; //数组操作发送字符串//串口初始化 void U…

【CLion】使用CLion开发STM32

本文主要记录使用CLion开发STM32&#xff0c;并调试相关功能 使用的CLion版本&#xff1a;2023.3.1 CLion嵌入式配置教程&#xff1a;STM32CubeMX项目 |CLion 文档 (jetbrains.com) OpenOCD官网下载&#xff1a;Download OpenOCD for Windows (gnutoolchains.com) GNU ARM工…

AHT10温湿度传感器——STM32驱动

———————实验效果——————— &#x1f384;硬件外观 &#x1f384; 接线 &#x1f388; 3.3V供电 &#x1f388; IIC通讯 &#x1f384; 代码获取 &#x1f388; 查看下方 ———————END———————

基于单片机的自动售货机(论文+源码)

1.系统设计 本设计以这样的工作流程开始自动售货机的自动售货过程&#xff1a; 启动系统&#xff0c;开始待机&#xff1b;顾客通过按键选择商品的种类以及数量并确认&#xff1b; 售货机检查是否有足够的货物并通过LCD提示等待顾客投币&#xff1b;顾客投入货币&#xff0c;…

BearPi Std 板从入门到放弃 - 先天神魂篇(6)(RT-Thread 按键中断响应)

简介 使用BearPi IOT Std开发板及主板自带两颗按键与用户灯, 实现按键触发中断控制灯亮灯灭 主板: 主芯片: STM32L431RCT6 LED : PC13 \ 推挽输出\ 高电平点亮 串口: Usart1 KEY1 : PB2 \ 上拉 \ 按下下降沿触发(一次)/上下沿触发(两次&#xff0c;实现按下开、松开关) KEY2 :…

恢复出厂设置后在 Android 上恢复照片的 6 种常用方法

恢复出厂设置可帮助您删除电子设备的所有信息并将其恢复到原始系统状态。但是&#xff0c;如果您不小心按下了恢复出厂设置按钮并从 Android 设备中删除了所有难忘的照片&#xff0c;该怎么办&#xff1f;好吧&#xff0c;您无需担心&#xff0c;因为可以通过以下一些方法来恢复…

STM32与Freertos入门(七)信号量

1、简介 FreeRTOS提供了二值信号&#xff08;Binary Semaphore&#xff09;作为一种同步机制&#xff0c;用于在任务之间进行简单的通信和同步操作。二值信号是一种特殊类型的信号量&#xff0c;只能有两种状态&#xff1a;0&#xff08;未触发&#xff09;和1&#xff08;已触…

gnuplot初探--不要使用windows下生成的数据源,的会出现未知问题

Linux下安装gnuplot sudo apt-get install gnuplot 安装完成后终端打印gnuplot 有下面打印即可 生成数据的代码 #include <stdio.h> #include <math.h>#define ANGLE_RESOLUTION 500 // Number of angle points to calculateint main(void) {int numElements …

硬件基础-电容

电容 本质&#xff1a;电容两端电压不能激变&#xff0c;所以可以起到稳定电压作用。充放电。 电容量的大小: 想使电容容量大:①使用介电常数高的介质 ②增大极板间的面积 ③减小极板间的距离。 品牌 国外&#xff1a;村田 muRata、松下 PANASONIC、三星 SAMSUNG、太诱 TAI…

STM32——时钟树与滴答计时器

STM32——时钟树与滴答计时器 使用的开发板为stm32F407VET6的芯片,主要介绍stm32的时钟树与滴答计时器的一些理论和一个自己编写的delay函数。 时钟树的结构图可以在STM32F4xx中文参考手册.pdf中的时钟这块找到。而滴答计时器是内核资源&#xff0c;需要到Cortex M3与M4权威指南…

STM32_窗口看门狗

什么是窗口看门狗&#xff1f; 窗口看门狗用于监测单片机程序运行时效是否精准&#xff0c;主要检测软件异常&#xff0c;一般用于需要精准检测 程序运行时间的场合。 窗口看门狗的本质是一个能产生 系统复位信号 和 提前唤醒中断 的 6 位计数器 产生复位条件&#xff1a; 当…

单片机设计的开题报告应该如何书写

不想看文字&#xff0c;下面有讲解视频 单片机设计的开题报告应该如何书写 &#xff08;【特纳斯电子】[单片机毕业设计]电子类&#xff09;单片机毕业设计小白应该如何制作流程-开题报告_哔哩哔哩_bilibili 1、研究背景与意义 研究背景&#xff1a;结合题目查阅相关资料&a…

C51--小车——PWM调速

如何进行小车PWM调速&#xff1a; 原理&#xff1a; 全速前进&#xff1a;LeftCon1A 0&#xff1b;LeftCon1B 1&#xff1b; 完全停止&#xff1a;LeftCon1A 0&#xff1b;LeftCon1B 0&#xff1b;单位时间内&#xff0c;例如20ms&#xff0c;有15ms是全速&#xff0c;5m…

基于单片机的太阳能数据采集系统(论文+源码)

1. 系统设计 在本次太阳能数据采集系统的设计中&#xff0c;以AT89C52单片机为主要核心&#xff0c;主要是由LCD液晶显示模块、存储模块、温度检测模块、串口通信模块&#xff0c;光照检测模块等组成&#xff0c;其实现了对太阳能板的温度&#xff0c;光照强度的检测和记录&…

基于DSP的IIR数字滤波器(论文+源码)

1.系统设计 在本次基于DSP的IIR数字低通滤波计中&#xff0c;拟以TMS320F28335来作为系统的主控制器&#xff0c;通过ADC0832模数转换芯片来对输入信号进行采集&#xff1b;通过TLC5615来将低通滤波后的信号进行输出&#xff1b;同时结合MATLAB仿真软件&#xff0c;对设计的II…

基于单片机的智能小车 (论文+源码)

1. 系统设计 此次可编程智能小车系统的设计系统&#xff0c;结合STM32单片机&#xff0c;蓝牙模块&#xff0c;循迹模块&#xff0c;电机驱动模块来共同完成本次设计&#xff0c;实现小车的循迹避障功能和手机遥控功能&#xff0c;其整体框架如图2.1所示。其中&#xff0c;采用…

Keil编译STM32工程,提示__align(4)处语法错误

好久没有用Keil编程&#xff0c;因为别人的代码是用Keil写的&#xff0c;所以又得安装起来&#xff0c;编译时遇到__align(4)的错误提示。 这个问题主要是编译器版本的问题&#xff0c;默认使用的是v6.19版本的编译器&#xff0c;而工程原来使用的是v5版本的&#xff0c;两个编…

STM32 使用ARM仿真器设置

STM32单片机程序下载到单片机芯片中有两种方式&#xff0c;①编译生成HEX&#xff0c;使用程序烧录软件刷到单片机芯片里。②使用ARM仿真器下载程序。使用ARM仿真器的优势是&#xff0c;在工程编译没问题直接在Keil软件里就可以将程序下载到单片机里&#xff0c;并且程序可以在…

16 个适用于 Windows 的最佳照片恢复软件 [2023更新]

他们说&#xff1a;“一张照片承载着大量的回忆、瞬间和经历。”他们是绝对正确的&#xff01;这就是为什么我们无法忍受失去它们&#xff0c;而且幸运的是&#xff0c;没有一个数字设备是可靠的。如果它们被损坏或损坏&#xff0c;所有这些珍贵的时刻都会随着这些照片而消失。…

嵌入式串口输入详细实例

学习目标 掌握串口初始化流程掌握串口输出单个字符掌握串口输出字符串掌握通过串口printf熟练掌握串口开发流程学习内容 需求 串口循环输出内容到PC机。 串口数据发送 添加Usart功能。 首先,选中Firmware,鼠标右键,点击Manage Project Items 接着,将gd32f4xx_usart.c添…

【RTOS学习】源码分析(信号量和互斥量 事件组 任务通知)

&#x1f431;作者&#xff1a;一只大喵咪1201 &#x1f431;专栏&#xff1a;《RTOS学习》 &#x1f525;格言&#xff1a;你只管努力&#xff0c;剩下的交给时间&#xff01; 目录 &#x1f353;信号量和互斥量&#x1f345;创建&#x1f345;Take&#x1f345;Give &#x…

12.21 汇编点亮STM32MP157小灯

.text .global _start _start: 时钟使能pb6 pf6 pe9LDR r0,0x50000A28LDR r1,[r0]ORR r1,r1,#(0x1<<4)ORR r1,r1,#(0x1<<5)ORR r1,r1,#(0x1<<1)STR r1,[r0]配置GPIO模式LDR r0,0x50006000LDR r1,[r0]BIC r1,r1,#(0x2<<20)ORR r1,r1,#(0x1<<20)B…

STM32实现流水灯

led.c #include"led.h"void Led_Init(void) {GPIO_InitTypeDef GPIO_VALUE; //???RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOC,ENABLE);//???GPIO_VALUE.GPIO_ModeGPIO_Mode_Out_PP;//???? ????GPIO_VALUE.GPIO_PinGPIO_Pin_1|GPIO_Pin_2|GPIO_Pin_…

STM32软硬件CRC测速对比

硬件CRC配置 以及软硬件CRC速度对比 使用CUBEMX配置默认使用的是CRC32&#xff0c;从库中可以看出这一点 HAL库提供了以下两个计算函数 HAL_CRC_Accumulate(CRC_HandleTypeDef *hcrc, uint32_t pBuffer[], uint32_t BufferLength); 这个函数用于在已有的CRC校验结果的基础上累积…

STM32MP157D-DK1开发板Qt镜像构建

上篇介绍了STM32MP57-DK1开发板官方系统的烧录。那个系统包含Linux系统的基础功能&#xff0c;如果要进行Qt开发&#xff0c;还需要重新构建带有Qt功能的镜像 本篇就来介绍如何构建带有Qt功能的系统镜像&#xff0c;并在开发板中烧录构建的镜像。 1 Distribution包的构建 ST…

【STM32单片机】俄罗斯方块游戏

文章目录 一、功能简介二、软件设计三、实验现象联系作者 一、功能简介 本项目使用STM32F103C8T6单片机控制器&#xff0c;IIC OLED液晶、按键等。 主要功能&#xff1a; 系统运行后&#xff0c;OLED显示开机界面&#xff0c;按下K1键进入游戏。K1键控制翻转&#xff0c;K2控…

STM32实战之深入理解I²C通信协议

目录 IC的物理层 IC的协议层 IC特点 IC 总线时序图 软件模拟IC时序分享 例程简介 例程分享 STM32的IC外设 IIC&#xff08;Inter-Integrated Circuit&#xff09;&#xff0c;也称为IC或TWI&#xff08;Two-Wire Interface&#xff09;&#xff0c;是一种广泛使用的串行…

【STM32】程序在SRAM中运行

程序在RAM中运行 1、配置内存分配。 2、修改跳转文件 FUNC void Setup(void) { SP _RDWORD(0x20000000); PC _RDWORD(0x20000004); } LOAD RAM\Obj\Project.axf INCREMENTAL Setup(); 3、修改下载ROM地址和RAM地址&#xff1b; 中断向量表映射 中断向量表映射到SRA…

STM32---中断

中断框图 一.中断 中断&#xff1a;当有中断请求时&#xff0c;CPU会停止处理当前的任务&#xff0c;转而去处理中断任务。 中断输入线有19/20根&#xff08;互联型号20根&#xff09;。 分类&#xff1a;系统异常&#xff08;10个&#xff09;和外部中断&#xff08;60个&…

【STM32】HAL库的STOP低功耗模式UART串口唤醒,解决首字节出错的问题(全网第一解决方案)

【STM32】HAL库的STOP低功耗模式UART串口唤醒&#xff0c;解决首字节出错的问题&#xff08;全网第一解决方案&#xff09; 前文&#xff1a; 【STM32】HAL库的STOP低功耗模式UART串口唤醒&#xff0c;第一个接收字节出错的问题&#xff08;疑难杂症&#xff09; 目前已解决 …

STM32-04-STM32时钟树

STM32时钟树 什么是时钟&#xff1f; 时钟是具有周期性的脉冲信号&#xff0c;最常用的是占空比50%的方波。&#xff08;时钟是单片机的脉搏&#xff0c;搞懂时钟走向及关系&#xff0c;对单片机使用至关重要&#xff09;。 时钟树 时钟源 2个外部时钟源 高速外部振荡器(HSE…

stm32 - 基础架构

stm32 - 基础架构 基础架构外设概念系统结构引脚定义晶振工程 基础架构 外设概念 NVIC &#xff08;内核外设&#xff09; SysTick &#xff08;内核外设&#xff09; 其他是片上外设 系统结构 内核引出三条总线 ICode 指令总线&#xff1a; 连接Flash闪存&#xff08;编写的…

STM32使用1.69寸液晶显示模块使用缓冲区实现快速刷新全屏显示字符串功能

一个1.69寸SPI接口的液晶显示模块&#xff0c;有320*24076800个点&#xff0c;每个点有2个字节表示RGB的颜色&#xff0c;所以需要153.6K个字节的数据来刷新全屏&#xff0c;如果SPI口输出数据不是高速并且不紧密排列的话&#xff0c;刷新就会比较慢&#xff0c;有从下到下的肉…

STM32单片机使用STM32CubeMX和Simulink进行联合开发-1

STM32单片机使用STM32CubeMX和Simulink进行联合开发-1 使用Simulink中的STM32扩展功能包配合STM32CubeMX进行联合开发,包含环境配置和实际应用教程。 目录 STM32单片机使用STM32CubeMX和Simulink进行联合开发-1一、环境配置1.安装扩展功能包2.安装STM32-MAT包二、创建STM32Cu…

Hex2Bin转换软件、Bootloader 、OTA加密升级 、STM32程序加密、其他MCU同样适用

说明&#xff1a;这个工具可以将 Hex 文件 转换为 Bin 格式文件&#xff0c;软件是按自己开发 STM32 OAT 功能需求开发的一款辅助 上位机软件。 文中的介绍时 bootloader boot 文档在补充完善中... 有兴趣的朋友可留言探讨。 1. 软件功能&#xff1a; 1.生成 bin&#x…

运算放大器相关知识总结(1)

1、 前言 最近做了一个小项目&#xff0c;这个项目是研发一款阻抗测量仪。这个阻抗测量仪可以测量人体在不同频率下的生物电阻抗&#xff0c;该设备的核心是模拟电路&#xff0c;技术难点是减小模拟电路噪声。该项目前前忙了2个多月&#xff0c;借着研发这个项目的机会把自己掌…

【单片机】STM32 ARM Cortex-M0 微控制器特性概述 双排 TSSOP封装好手工焊接的STM32芯片,双排

STM32F070CB STM32F070RB STM32F070C6 STM32F070F6 在嵌入式系统和物联网应用中&#xff0c;ARM Cortex-M0 微控制器以其强大的性能和丰富的功能而备受瞩目。本文将介绍该微控制器的主要特性&#xff0c;使读者更好地了解其适用范围和潜在应用。 核心特性 ARM 32位 Cortex…

乐理燥废笔记

乐理燥废笔记 文章目录 终止式小调音阶转调不协和和弦进行大小转调1251 1451转调我的霹雳猫阿诺三全音代理五声音阶又怎样和弦附录&#xff1a;压缩字符串、大小端格式转换压缩字符串浮点数压缩Packed-ASCII字符串 大小端转换什么是大端和小端数据传输中的大小端总结大小端转换…

超声波模块的驱动(STM32、51单片机等)

一、前言 本文旨在分享单片机对超声波模块的驱动&#xff0c;测量距离和显示 二、超声波的驱动 1、超声波模块 2、模块性能 &#xff08;1&#xff09;TCT40-16T/R1 压电陶瓷超声传感器&#xff08;通用型&#xff09; 3、接口定义 Vcc、 Trig&#xff08;控制端&#xff09…

STM32 -- 串口引脚整理

一、引脚分配列表 串口端口发送引脚 &#xff08;TX)接收引脚 (RX)USART1PA9PA10USART2PA2PA3USART3PB10PB11UART4PC10PC11UART5PC12PD2USART6PC6PC7 二、串口引脚的规律 STM32芯片上&#xff0c;引脚功能的布局安排&#xff0c;被设计得很有规律。 不同型号间&#x…

stm32---输入捕获实验实操(巨详细)

这次来分享上次没说完的输入捕获的知识点 实验中用到两个引脚&#xff0c;一个是通用定时器 TIM3 的通道 1&#xff0c;即 PA6&#xff0c;用于输出 PWM 信号&#xff0c;另一 个是高级控制定时器 TIM1 的通道 1&#xff0c;即 PA8&#xff0c;用于 PWM 输入捕获&#xff0c;实…

STM32——DMA知识点及实战总结

1.DMA概念介绍 DMA&#xff0c;全称Direct Memory Access&#xff0c;即直接存储器访问。 DMA传输 将数据从一个地址空间复制到另一个地址空间。 注意&#xff1a;DMA传输无需CPU直接控制传输 2.DMA框图 3.DMA处理过程 外设的 8 个请求独立连接到每个通道&#xff0c;由 DMA_…

【XR806开发板使用】开发环境搭建、Hello工程以及开发事项

XR806开发板试用 很有幸能获得本次技术社区和全志组织的XR806开发板试用活动。之前开发的嵌入式应用都是在Windows平台上进行的&#xff0c;对于Linux下的开发并不熟悉&#xff0c;在社区里看到群友使用官方提供的docker环境进行开发&#xff0c;顺着群友的指导&#xff0c;找…

FreeRTOS学习第7篇--周期性延迟和相对性延迟函数

目录 FreeRTOS学习第7篇--周期性延迟和相对性延迟函数时间延迟vTaskDelay函数原型vTaskDelayUntil函数原型PrintTask_Task任务相关代码片段实验现象本文中使用的测试工程 FreeRTOS学习第7篇–周期性延迟和相对性延迟函数 本文目标&#xff1a;学习与使用FreeRTOS中的延迟函数&…

嵌入式-Stm32-江科大基于标准库通过GPIO点LED灯

文章目录 一&#xff1a;新建基于库函数开发的工程二&#xff1a;截图操作实现三&#xff1a;main.c 大致代码实现道友&#xff1a;凡事只想着蒙混过关&#xff0c;困难只会越来越多。我们要有&#xff0c;独立解决问题的能力&#xff0c;才能成长为更好的自己。 基于库函数开发…

DWM1000 物理层

UWB 物理层 DW1000设备的物理层&#xff08;PHY&#xff09;参数 dwt_config_t config {2, /* 通道号&#xff0c;用于无线通信。 */DWT_PRF_64M, /* 脉冲重复频率&#xff0c;设置为64MHz。 */DWT_PLEN_1024, /* 前导码长度&#xff0c;设置为1024个时…

USB_CH340一键下载电路

目录标题 1、CH340概述2、CH340芯片特点3、CH340系列芯片4、CH340引脚定义5、CH340传统的一键下载电路5.1、Stm32串口下载5.2、ESP32串口下载5.3、注意 6、免外围电路下载 1、CH340概述 CH340是一个USB总线的转接芯片&#xff0c;可实现USB转串口或者USB转打印口。 2、CH340芯…

基于单片机的智能导盲杖设计(论文+源码)

1.系统设计 本文基于STC89C52单片机微处理器进行研究的一种智能手杖系统需要同时实现超声波自动测距、语音自动报警、距离自动显示、电机震动报警、led指示灯灯光明灭自动提醒等多种功能&#xff0c;在手机通信提醒模式下手机用户可拨打固定手机电话信号实现手机通信提醒功能。…

基于Wi-Fi的室内空气质量数据采集与分析系统(论文+源码)

1. 系统设计 当前人们对家居环境的要求越来越高&#xff0c;因此本课题设计了一款基于Wi-Fi的室内空气质量数据采集与分析系统 &#xff0c;在功能上设计如下&#xff1a; 可以实时检测当前环境的温湿度&#xff0c;CO2&#xff0c;烟雾&#xff0c;PM2.5等众多参数&#xff1…

13 STM32-随机数发生器 (RNG)

13.1 随机数发生器 (RNG)简介 RNG 处理器是一个以连续模拟噪声为基础的随机数发生器&#xff0c;在主机读数时提供一个 32 位的随机数. RNG 提供由模拟量发生器产生的 32 位随机数,两个连续随机数的间隔为 40 个 PLL48CLK 时钟信号周期 13.2 RNG框图 随机数发生器采用模拟电路…

基于单片机的医院盒装药品自动分拣系统(论文+源码)

1. 系统设计 本系统供电部分采用5V供电&#xff0c;通过电机驱动模块驱动步进电机完成传送带的制作&#xff0c;在工作时由工作人员将盒装药品放置在传送带上&#xff0c;由传送带将盒装药品送至识别模块下端&#xff0c;利用射频识别模块识别贴在药盒上的射频标签&#xff0c…

STM32新建工程详细教程

链接: https://pan.baidu.com/s/1JtjKg_jeo7U2irD4hLd_bg?pwd45cw 提取码: 45cw STM32的开发方式 目前stm32的开发方式主要有基于寄存器的方式、基于标准库的方式&#xff08;库函数的方式&#xff09;、基于HAL库的方式。 基于库函数的方式是使用ST官方提供的封装好的函数…

嵌入式-Stm32-江科大基于标准库的GPIO4个小实验

文章目录 一 、硬件介绍二 、实验&#xff1a;LED闪烁、LED流水灯、蜂鸣器提示2.1 需求1&#xff1a;面包板上的LED以1s为周期进行闪烁。亮0.5s,灭0.5s.....2.2 需求2: 8个LED实现流水灯 三、硬件介绍-按键开关、光敏电阻四、 实验 按键控制LED、光敏传感器控制蜂鸣器4.1 需求1…

启动低轨道卫星LEO通讯产业与6G 3GPP NTN标准

通讯技术10年一个大跃进&#xff0c;从1990年的2G至2000年的3G网路&#xff0c;2010年的4G到近期2020年蓬勃发展的5G&#xff0c;当通讯技术迈入融合网路&#xff0c;当前的 5G 技术不仅可提供高频宽、低延迟&#xff0c;同时可针对企业与特殊需求以 5G 专网的模式提供各式服务…

专题篇|国芯科技系列化布局车载DSP芯片,满足不同层次车载音频产品的需求

随着高端DSP芯片产品CCD5001的亮相&#xff0c;国芯科技也在积极布局未来的DSP系列芯片群。通过深入研究不同车型音频处理需求&#xff0c;对比国外DSP产品综合性能和成本&#xff0c;国芯科技未来将推出全新DSP芯片家族&#xff0c;包括已经推出的高端产品CCD5001&#xff0c;…

构建库函数雏形(以GPIO为例)

构建库函数雏形 进行外设结构体定义构建置位和复位函数进行库函数的自定义 step I&#xff1a; \textbf{step I&#xff1a;} step I&#xff1a; 对端口进行输出数据类型枚举 step II&#xff1a; \textbf{step II&#xff1a;} step II&#xff1a;对端口进行结构化描述 step…

stm32f103c8r6 串口2数据DMA的接收

#define USART_REC_LEN 16 //定义最大接收字节数 16 extern u8 USART2_RX_BUF[USART_REC_LEN]; u8 USART2_RX_BUF[USART_REC_LEN]; void uart2_init(u32 bound) { //GPIO端口设置 GPIO_InitTypeDef GPIO_InitStructure; USART_InitTypeDef U…

使用NTC负温度系数热敏电阻控制温度

鱼缸原来的加热棒使用的是NTC负温度系数的热敏电阻测温&#xff0c;负温度系数是指随着温度的升高&#xff0c;电阻是不断按照指数形式减小的&#xff0c;在22度的情况下实测电阻是10K多&#xff0c;可以断定使用了10K&#xff08;25度下是10K&#xff09;的电阻&#xff0c;为…

初入山门,识得DSP

初入山门&#xff0c;识得DSP 先问问GPT怎么看何为DSP与普通的MCU的区别 个人认知简单概述举例思考 先问问GPT怎么看 何为DSP AI回答&#xff1a;数字信号处理&#xff08;DSP&#xff09;是一种使用数字计算技术对信号进行处理、分析和合成的方法。它涉及将模拟信号转换为数…

STM32CubeMX学习(二) USB CDC 双向通信

STM32CubeMX学习&#xff08;二&#xff09; USB CDC 双向通信 简介CubeMX新建工程&#xff08;串口LED&#xff09;测试串口和LED串口接收测试USB CDC通信 简介 利用正点原子F407探索者开发板&#xff0c;测试基于USB CDC的双向数据通信。 CubeMX新建工程&#xff08;串口LE…

STM32CubeMX教程13 ADC - 单通道转换

目录 1、准备材料 2、实验目标 3、ADC概述 4、实验流程 4.0、前提知识 4.1、CubeMX相关配置 4.1.1、时钟树配置 4.1.2、外设参数配置 4.1.3、外设中断配置 4.2、生成代码 4.2.1、外设初始化调用流程 4.2.2、外设中断调用流程 4.2.3、添加其他必要代码 5、常用函数…

单片机通用复用组件C语言

组件内容&#xff1a; 组件包含内容有&#xff1a;MODBUS主从机管理(支持RTU和ASCII)&#xff0c;内存管理(小内存管理算法)&#xff0c;串口管理&#xff0c;消息队列&#xff0c;环形缓冲区&#xff0c;类线程间同步方法(信号量、互斥锁、事件集)&#xff0c;软件定时器&…

STM32的在线升级(IAP)实现方法:BOOT+APP原理详解

0 工具准备 Keil uVision5 Cortex M3权威指南&#xff08;中文&#xff09; STM32参考手册 1 在线升级&#xff08;IAP&#xff09;设计思路 为了实现STM32的在线升级&#xff08;IAP&#xff09;功能&#xff0c;通常会将STM32的FLASH划分为BOOT和APP两个部分&#xff0c;BOO…

普中STM32-PZ6806L开发板(HAL库函数实现-按键扫描)

简介 实现按键扫描, 实现四个按键按下控制灯的亮灭 电路原理图 按键电路原理图 按键与主芯片引脚原理图 其他知识 原理图分析 Key_UP按下会有高电平输入, 所以电路设置应该是默认低电平, 初始化为下拉输入 Key_Left/Right/Down按下会有低电平&#xff0c; 初始化为下拉输…

STM32深入系列02——BootLoader分析与实现

文章目录 1. STM32程序升级方法1.1 ST-Link / J-link下载1.2 ISP&#xff08;In System Programing&#xff09;1.3 IAP&#xff08;In Applicating Programing&#xff09;1.3.1 正常程序运行流程1.3.2 有IAP时程序运行流程 2. STM32 Bootloader实现2.1 方式一&#xff1a;Boo…

STM32CubeMX教程10 RTC 实时时钟 - 周期唤醒、闹钟A/B事件和备份寄存器

目录 1、准备材料 2、实验目标 3、实验流程 3.0、前提知识 3.1、CubeMX相关配置 3.1.1 、时钟树配置 3.1.2、外设参数配置 3.1.3 、外设中断配置 3.2、生成代码 3.2.1、外设初始化函数调用流程 3.2.2、外设中断函数调用流程 3.2.3、添加其他必要代码 4、常用函数 …

【STM32】STM32学习笔记-TIM输入捕获(17)

00. 目录 文章目录 00. 目录01. 输入捕获简介02. 频率测量03. 输入捕获通道04. 主从触发模式05. 输入捕获基本结构06. PWMI基本结构07. 其它08. 附录 01. 输入捕获简介 IC&#xff08;Input Capture&#xff09;输入捕获 输入捕获模式下&#xff0c;当通道输入引脚出现指定电平…

如何读懂TF的速度标识?

SD/TF卡的速度等级 下图是SD协会的速度等级图 从图中可以看出不同的符号对应的速度等级信息。 如何读懂TF的丝印标识 以MK-米客方德工业级TF卡为例&#xff1a; 1、U3&#xff1a;UHS速度等级可达30M/s&#xff0c;U1 和 U3 可应用于 UHS 总线产品系列。 2、A2&#xff1a;应…

一种多串口冗余设计解决思路

需求 总共11个串口&#xff0c;现在每个串口接收BUFF分配1024个字节的收发缓冲区。原始方法将11个串口全部进行初始化&#xff0c;分配大串口&#xff0c;由于单片机RAM不足&#xff0c;现在将串口1和串口2固定分配为大串口&#xff0c;串口3-11随机选择2个作为大串口&#xf…

STM32学习笔记十八:WS2812制作像素游戏屏-飞行射击游戏(8)探索游戏多样性,范围伤害模式

前面我们的攻击手段比较单一&#xff0c;虽然已经分出了 EnemyT1 / EnemyT2 / EnemyT3&#xff0c; 但里面还是基本一样的。这回&#xff0c;我们尝试实现一些新的攻击方法&#xff0c;实现一些新的算法。 1、前面我们小飞机EnemyT1 的攻击方式是垂直向下发射子弹。 那么大飞机…

stm32学习笔记:TIIM-输入捕获

输入捕获理论 4个输入捕获和输出比较通道&#xff0c;共用4个CCR寄存器 另外它们的CH1到CH4&#xff0c;4个通道的引脚&#xff0c;也是共用的。 所以对于同一个定时器&#xff0c;输入捕获和输出比较只能使用其中一个&#xff0c;不能同时使用。 电平跳变&#xff1a;上升沿…

RT_Thread 调试笔记:串口打印、MSH控制台 相关

说明&#xff1a;记录日常使用 RT_Thread 开发时做的笔记。 持续更新中&#xff0c;欢迎收藏。 1.打印相关 1.打印宏定义&#xff0c;可以打印打印所在文件&#xff0c;函数&#xff0c;行数。 #define PRINT_TRACE() printf("-------%s:%s:%d------\r\n", __FIL…

STM32CubeMX RS485接口使用

一、基本知识 TTL&#xff08;Transistor-Transistor Logic&#xff09;&#xff1a; 电平范围&#xff1a; 逻辑1对应于2.4V–5V&#xff0c;逻辑0对应于0V–0.5V。通信特点&#xff1a; 全双工。特点&#xff1a; 常见于单片机和微控制器的IO电平&#xff0c;USB转TTL模块通常…

STM32 单片机重启(查看上次重启原因)

STM32 HAL 复位源识别 STM32启动后可以识别本次启动是对应哪一种复位源&#xff0c;从而可以根据复位源的状态&#xff0c;做一些特殊处理。 HAL库的复位源识别 以下代码&#xff0c;识别是那种方式进行复位的&#xff0c;只需要将自己在那种方式复位运行的程序添加进去即刻&a…

STM32CubeMX教程27 SDIO - 读写SD卡

目录 1、准备材料 2、实验目标 3、轮询方式读取SD卡流程 3.0、前提知识 3.1、CubeMX相关配置 3.1.0、工程基本配置 3.1.1、时钟树配置 3.1.2、外设参数配置 3.1.3、外设中断配置 3.2、生成代码 3.2.0、配置Project Manager页面 3.2.1、外设初始化调用流程 3.2.2、外设中断调用流…

stm32 裸机点亮led

stm32不用库 裸机点亮led startup.s 定义栈入口函数 进入main .syntax unified .cpu cortex-m3 .fpu softvfp .thumb.global vtable .global reset_handler.type vtable, %object vtable:.word _estack.word reset_handler .size vtable, .-vtable.type reset_handler, %funct…

STM32(更新中)

目录 1 时钟&#xff08;心跳&#xff09; 1.1 CubeMX基本配置 1.2 外设在时钟上的分配原理 1.3 时钟树 2 寄存器&#xff08;地址&#xff09; 3 GPIO 3.1 GPIO实物 3.2 GPIO两种结构&#xff08;推挽/开漏&#xff09; 3.3 LED 3.4 CUBEMX 3.5 常用函数 …

C程序语法和结构

C语言简介-CSDN博客 目录 ​编辑 1. C结构 2. C语法 2.1 分号 ; 2.2 注释 2.3 标识符 2.4 关键字 2.5 C 中的空格 1. C结构 参考往期代码&#xff1a; #include <stdio.h>int main() {/* 我的第一个 C 程序 */printf("Hello, World! \n");retu…

大电流电感的作用和特点

大电流电感又称为高功率电感&#xff0c;一般是指绕线型电感&#xff0c; 一、主要作用 1.在低频时&#xff0c;起蓄能和滤高频&#xff1b; 2.在高频时&#xff0c;它的阻抗特性表现的很明显。有耗能发热&#xff0c;感性效应降低等现象。 简单来说就是对交流信号进行隔离、…

STM32CubeIDE基础学习-USART串口通信实验(中断方式)

STM32CubeIDE基础学习-USART串口通信实验&#xff08;中断方式&#xff09; 文章目录 STM32CubeIDE基础学习-USART串口通信实验&#xff08;中断方式&#xff09;前言第1章 硬件介绍第2章 工程配置2.1 工程外设配置部分2.2 生成工程代码部分 第3章 代码编写第4章 实验现象总结 …

32---SWD电路设计

视频链接 SWD硬件电路设计01_哔哩哔哩_bilibili SWD电路设计 1、SWD简介 SWD&#xff1a;Serial Wire Debug&#xff0c;代表串行线调试。 是ARM设计的协议&#xff0c;用于对其微控制器进行编程和调试。 SWDIO–串行数据线&#xff0c;用于数据的读出和写入; SWDCLK–串…

【stm32】USART编码部分--串口数据包

USART串口数据包【源码放在最后】 关于数据包的分类 关于数据包的发送 如果想要发送Hex数据包&#xff0c;定义一个数组填充数据&#xff0c;然后使用串口模块函数SendArray进行发送 如果想要发送文本数据包&#xff0c;写一个字符串然后调用SendString进行发送 对于发送数据…

AGV无人驾驶跨境运输新模式引领未来物流

agv AGV即“自动导引运输车”&#xff0c;这一概念起源于欧美&#xff0c;在欧美及日韩市场的发展比较成熟&#xff0c;于上世纪末被引入国内。这种自动导引运输车可以广泛应用于汽车、化工、医药以及食品饮料等制造业场景&#xff0c;以及机场、码头等仓储物流行业场景&#x…

STM32 TIM DMA burst 输出变频 PWM 波形

1. 问题背景 客户需要 MCU 输出一组变频的 PWM 波形来控制外围器件&#xff0c;并且不同频率脉冲的个数也不同。STM32U5 芯片拥有 TIM1/TIM8 高级定时器&#xff0c;还有通用定时器TIM2/TIM3/TIM4/TIM5 以及 TIM15/TIM16/TIM17。TIM 模块中&#xff0c;可通过修改 ARR 寄存器的…

stm32f103c8t6学习笔记(学习B站up江科大自化协)-SPI

SPI通信 SPI&#xff0c;&#xff08;serial peripheral interface&#xff09;&#xff0c;字面翻译是串行外设接口&#xff0c;是一种通用的数据总线&#xff0c;适用于主控和外挂芯片之间的通信&#xff0c;与IIC应用领域非常相似。 IIC无论是在硬件电路还是在软件时序设计…

一分钟了解MOS管基础知识

场效应管&#xff08;Field-Effect Transistor&#xff0c;简称FET&#xff09;是电子技术中广泛使用的一种半导体器件&#xff0c;具有高输入阻抗、噪声低和低功耗等优点。 简介 场效应管是一种电压控制器件&#xff0c;其工作原理是通过改变栅极&#xff08;Gate&#xff09;…

江协STM32:定时器定时中断和定时器定时闹钟

定时器中断 新建文件 按这个图来编写程序 第一步&#xff1a;RCC开启时钟&#xff0c;定时器到基准时钟和整个外设到工作时钟就会同时打开 第二步&#xff1a;选择时基单元的时钟源&#xff0c;对于定时中断选择内部时钟源 第三步&#xff1a;配置时基单元&#xff0c;ARR,P…

使用STM32 MCU模拟实现PPS+TOD授时信号

简介 PPSTOD是授时信号的一种&#xff0c;用来传递准确的时间信息。 PPS&#xff0c;Pulse Per Second&#xff0c;是每秒一次的脉冲信号&#xff0c;其上升沿表示整秒的时刻。TOD&#xff0c;Time of Day&#xff0c;是时间信息。是跟随在每个PPS信号后的由串口发出的一句报…

keil同时兼容C51和stm32的方法(win11)

当时以为电脑可以装两个keil&#xff0c;最开始用的C51&#xff0c;开始学习STM32后安装MDK发现把原来的覆盖了&#xff0c;C51就不能用了&#xff0c;现在终于找到办法一个keil可以同时兼容。 1.安装c51v959 以管理员身份运行c51v959.exe点击Next点击I agree&#xff0c;在点…

STM32F407 FSMC并口读取AD7606

先贴一下最终效果图.这个是AD7606并口读取数据一个周期后的数据结果. 原始波形用示波器看是很平滑的. AD7606不知为何就会出现干扰, 我猜测可能是数字信号干扰导致的. 因为干扰的波形很有规律. 这种现象基本上可以排除是程序问题. 应该是干扰或者数字信号干扰,或者是数字和模拟…

物联网实战--驱动篇之(一)EEPROM存储器(AT24C64)

目录 一、驱动概述 二、AT24C64简介 三、驱动编写 四、驱动应用 一、驱动概述 这是驱动篇的第一篇&#xff0c;所以先说明下驱动篇的作用和书写计划。之前的净化器项目已有提及&#xff0c;向ESP8266、SHT30这些都属于驱动设备&#xff0c;主芯片STM32是核心&#xff0c;相…

【无人机/平衡车/机器人】详解STM32+MPU6050姿态解算—卡尔曼滤波+四元数法+互补滤波——附3个算法源码

效果&#xff1a; MPU6050姿态解算-卡尔曼滤波四元数互补滤波 目录 基础知识详解 欧拉角 加速度计(Accelerometer)与姿态测量 陀螺仪(Gyroscope)与姿态测量 姿态解算算法1-互补滤波 姿态解算算法2-四元数法 姿态解算算法3-卡尔曼滤波 组成 1.预测状态方程 2. 预测协方…

c51 单片机如何控制小灯闪烁?

目录 硬件电路设计 软件编程 烧录程序 测试 调整和优化 C51单片机是一种经典的8位微控制器&#xff0c;广泛应用于各种嵌入式系统和智能控制项目中。 C51单片机控制小灯闪烁主要涉及到硬件电路设计和软件编程两个方面。下面是一个基本的步骤说明&#xff1a; 硬件电路设计…

【VSCode+Keil5+STM32CubeMX】开发环境配置

一、软件下载 二、软件安装 三、配置环境 四、验证开发环境 五、Keil与VS Code的同步 从0到1搭建VS Code Keil5 STM32CubeMX开发环境 优点 支持标准库HAL库LL库代码编辑更“现代化”&#xff1a;代码提示、函数跳转、更高自由度的定制主题等优点多端同步&#xff0c;VS Code和…

【STM32F103单片机】利用ST-LINK V2烧录程序 面包板的使用

1、ST‐LINK V2安装 参考&#xff1a; http://t.csdnimg.cn/Ulhhq 成功&#xff1a; 2、烧录器接线 背后有标识的引脚对应&#xff1a; 3、烧录成功 烧录成功后&#xff0c;按下核心板的RESET键复位&#xff01;&#xff01;&#xff01;即可成功&#xff01; 4、面包板的…

STM32通用定时器、计数器

时间记录&#xff1a;2024/1/30 一、时钟介绍&#xff08;TIM2-TIM5&#xff09; &#xff08;1&#xff09;通用定时器时钟频率介绍 内部时钟AHB为72MHz&#xff0c;经过APB1预分频器2分频变为36MHz&#xff0c;TIMxClk定时器时钟由时钟树可以看出&#xff0c;如果APB1预分…

STM32——ADC

STM32——ADC 1.ADC介绍 ADC是什么&#xff1f; 全称&#xff1a;Analog-to-Digital Converter&#xff0c;指模拟/数字转换器! ADC性能指标 量程&#xff1a;能测量的电压范围分辨率&#xff1a;ADC能辨别的最小模拟量&#xff0c;通常以输出二进制数的位数表示&#xf…

STM32学习笔记二——STM32时钟源时钟树

目录 STM32芯片内部系统架构详细讲解&#xff1a; 1.芯片内部混乱电信号解决方案&#xff1a; 2.时钟树&#xff1a; 1.内部RC振荡器与外部晶振的选择 2. STM32 时钟源 3.STM32中几个与时钟相关的概念 4.时钟输出的使能及其流程 5.时钟设置的基本流程 时钟源——单片机…

【全csdn最前沿LVGL9】Style样式

文章目录 前言一、Style的介绍二、State状态三、级联样式四、Style的继承五、组件六、设置样式属性七、添加和移除样式7.1 添加7.2 替换样式7.3 移除样式7.4 当对象实时改变了样式去通知对象刷新样式 八、获取一个对象的属性值九、本地样式十、过度动画十一、主题总结 前言 在…

RS485浪涌防护经验分享

对于一些室外的产品&#xff0c;485信号可能会引出&#xff0c;长期暴露在户外&#xff0c;并且走线还会比较长&#xff0c;所以对于户外485信号浪涌防护是必不可少的。 非隔离的485信号典型的防护电路就是这个&#xff0c;防护器件包括气体放电管&#xff0c;PTC自恢复保险丝…

STM32目录结构

之前一直头疼的32目录&#xff0c;比51复杂&#xff0c;又没有C规律&#xff0c;也不像python脚本文件关联不强&#xff0c;也不像工整的FPGA工程&#xff0c;编的时候到处放&#xff0c;爆出的错千奇百怪。短暂整理了一个&#xff0c;还是没有理得很轻。 startup_stm32f10x_m…

STM32——看门狗

STM32——看门狗 1.独立看门狗IWDG 独立看门狗介绍 什么是看门狗&#xff1f; 在由单片机构成的微型计算机系统中&#xff0c;由于单片机的工作常常会受到来自外界电磁场的干扰&#xff0c;造成程序的跑飞&#xff0c;而陷入死循环&#xff0c;程序的正常运行被打断&#x…

RT-Thread+ENV+MDK+STM32CubeMX适配

前言 &#xff08;1&#xff09;如果有嵌入式企业需要招聘湖南区域日常实习生&#xff0c;任何区域的暑假Linux驱动/单片机/RTOS的实习岗位&#xff0c;可C站直接私聊&#xff0c;或者邮件&#xff1a;zhangyixu02gmail.com&#xff0c;此消息至2025年1月1日前均有效 &#xff…

stm32触发硬件错误位置定位

1.背景 1. 项目中&#xff0c;调试过程或者测试中都会出现程序跑飞问题&#xff0c;这个时候问题特别难查找。 2. 触发硬件错误往往是因为内存错误。这种问题特别难查找&#xff0c;尤其是产品到了测试阶段&#xff0c;而这个异常复现又比较难的情况下&#xff0c;简直头疼。…

STM32 IIC协议基础概念

文章目录 前言一、IIC协议介绍二、IIC硬件框图和程序层次三、IIC协议1.IIC协议通信流程2.IIC的引脚为什么需要加入上拉电阻3.IIC的引脚为什么需要配置为开漏输出 四、STM32 IIC硬件结构总结 前言 本篇文章将带大家学习IIC通信协议的一些基础概念和使用。 一、IIC协议介绍 I2…

AGV搬运机器人能给企业带来哪些效益?

agv 当前物流行业正在以每年40%的速度快速增长&#xff0c;却依然是典型的劳动密集型行业。随着机器人技术的崛起&#xff0c;传统物流行业也开始加大对物流科技设备的研发。AGV机器人被广泛应用于整个仓储系统内&#xff0c;疏解了一部分人力的负担&#xff0c;使后台工作更加…

STM32 Cortex-M3 HardFault异常定位

目录 1 Fault类异常 1.1 总线Fault 1.2 存储器管理Fault 1.3 用法Fault 1.4 硬件Fault 2 Core Registers 2.1 R14&#xff08;LR&#xff09;寄存器 2.2 R15&#xff08;PC&#xff09;寄存器 3 HardFault处理原理 4 真实项目调试 1 Fault类异常 Cortex-M3的Fault异…

【STM32】STM32学习笔记-读写内部FLASH 读取芯片ID(49)

00. 目录 文章目录 00. 目录01. FLASH概述02. 读写内部FLASH接线图03. 读写内部FLASH相关API04. 读写内部FLASH程序示例05. 读写芯片ID接线图06. 读写芯片ID程序示例07. 程序示例下载08. 附录 01. FLASH概述 STM32F10xxx内嵌的闪存存储器可以用于在线编程(ICP)或在程序中编程(…

STM32-ADC一步到位学习手册

1.按部就班陈述概念 ADC 是 Analog-to-Digital Converter 的缩写&#xff0c;指的是模拟/数字转换器。它将连续变量的模拟信号转换为离散的数字信号。在 STM32 中&#xff0c;ADC 具有高达 12 位的转换精度&#xff0c;有多达 18 个测量通道&#xff0c;其中 16 个为外部通道&…

GPT对话知识库——FreeRTOS中寄存器BASEPRI的作用

提问模型&#xff1a;GPT-4-TURBO-PREVIEW 提问时间&#xff1a;2024.03.02 1&#xff0c;问&#xff1a; 举例详细说明寄存器BASEPRI在freertos中作用 1&#xff0c;答&#xff1a; 在使用FreeRTOS这样的实时操作系统时&#xff0c;确保系统的实时性和响应能力至关重要。其中…

AGM AG32 MCU系列(含AGRV2K)的内部PLL使用入门(一)

AG32 MCU(或AGRV2K)的整个器件只有一个 PLL 倍频模块&#xff08;mcu 和 cpld 共用&#xff09; 。倍频分频操作是封装在系统内部的&#xff08;用户无须也不能控制这个时钟树&#xff09; 。 实现原理&#xff1a; A. 系统会根据所有用到的频率项&#xff08;mcu 和 cpld 要用…

【嵌入式实践】【芝麻】【设计篇-3】从0到1给电动车添加指纹锁:项目整体规划

0. 前言 该项目是基于stm32F103和指纹模块做了一个通过指纹锁控制电动车的小工具。支持添加指纹、删除指纹&#xff0c;电动车进入P档等待时计时&#xff0c;计时超过5min则自动锁车&#xff0c;计时过程中按刹车可中断P档状态&#xff0c;同时中断锁车计时。改项目我称之为“芝…

STM32——智能小车

STM32——智能小车 硬件接线 B-1A – PB0 B-1B – PB1 A-1A – PB2 A-1B – PB10 其余接线参考51单片机小车项目。 1.让小车动起来 motor.c #include "motor.h" void goForward(void) {// 左轮HAL_GPIO_WritePin(GPIOB, GPIO_PIN_2, GPIO_PIN_SET);HAL_GPIO…

STM32 (1)

1.基本信息 stm32是由ST公司生产的一种32位微控制器&#xff08;单片机&#xff09;。 1.1 各种型号 stm32是32位单片机的总称&#xff0c;有多种不同的系列。 32即用32个比特位表示一个地址&#xff0c;寻址范围&#xff1a;0x00000000 --0xffffffff (4GB) 1.2 存储密度 …

【江科大】STM32:MPU6050介绍

文章目录 MPU6050介绍结构图MPU6050参数硬件电路模块内部结构框图数据帧格式寄存器地址 MPU6050介绍 MPU6050是一个6轴姿态传感器&#xff0c;可以测量芯片自身X、Y、Z轴的加速度、角速度参数&#xff0c;通过数据融合&#xff0c;可进一步得到姿态角&#xff0c;常应用于平衡…

STM32外部中断(红外传感器与旋转编码器计数案例)

文章目录 一、介绍部分简介中断系统中断执行流程STM32中断NVIC基本结构NVIC优先级分组外部中断外部中断简介外部中断基本结构外部中断的流程AFIOEXTI框图 相关外设介绍旋转编码器介绍硬件电路对射式红外传感器 二、代码实现对射式红外传感器计次连接电路封装红外传感器与中断函…

STM32学习笔记三——深度讲解GPIO及其应用

目录 STM32GPIO端口位基本结构图&#xff1a; 结构图I/O引脚&#xff1a; GPIO输入输出总结 1.GPIO引脚的四种输入方式及其特点&#xff1a; 1)上拉输入(GPIO_Mode_IPU) 2)下拉输入(GPIO_Mode_IPD) 3)模拟输入(GPIO_Mode_AIN) 4)浮空输入(GPIO_Mode_IN_FLOATING…

FreeRTOS_Stm32F407系列单片机标准库移植

这里写目录标题 1、下载FreeRTOS源码1.1github仓库下载1.2官网下载1.3百度网盘下载 2、FreeRTOS移植2.1首先需要有一个可运行的标准库工程2.2在工程内创建一个FreeRTOS文件夹&#xff0c;然后在FreeRTOS文件夹中再新建port、include、src三个文件夹。2.3 port文件夹移植2.4 inc…

Linux第42步_移植ST公司uboot的第3步_uboot命令测试,搭建nfs服务器和tftp服务器

测试uboot命令&#xff0c;搭建nfs服务器和tftp服务器&#xff0c;是测试uboot非常关键的一步。跳过这一节&#xff0c;后面可能要踩坑。 一、输入“help回车”&#xff0c;查询uboot所支持的命令 二、输入“? bootz回车”&#xff0c;查询“bootz”怎么用 注意&#xff1a;和…

【FreeRTOS】的信号量:同步与互斥的关键

在现代嵌入式系统开发中&#xff0c;FreeRTOS因其轻量级、高效和易用的特性而备受欢迎。在FreeRTOS中&#xff0c;信号量&#xff08;Semaphore&#xff09;是一个非常重要的同步机制&#xff0c;它允许开发者管理对共享资源的访问&#xff0c;确保系统的稳定性和数据的完整性。…

STM32 NAND FLASH知识点

1.NAND FLASH的简介 NAND FLASH 的概念是由东芝公司在 1989 年率先提出&#xff0c;它内部采用非线性宏单元模式&#xff0c;为固态大容量内存的实现提供了廉价有效的解决方案。 NAND FLASH 存储器具有容量较大&#xff0c;改写速度快等优点&#xff0c;适用于大量数据的存储&…

STM32——中断

1 什么是中断 中断&#xff1a;打断CPU执行正常的程序&#xff0c;转而处理紧急程序&#xff0c;然后返回原暂停的程序继续运行&#xff1b; 对于单片机来说&#xff0c;中断是指CPU正在处理某个事件A&#xff0c;发生了另一件事件B&#xff0c;请求CPU迅速去处理&#xff08;…

CAN通讯协议详解

阅读引言&#xff1a; 本篇博文想给需要的人介绍一下CAN总线&#xff0c; 这个也算是我从B站学习记得笔记分享吧也算是。简单的介绍了CAN总线的大致内容&#xff0c; 简述支持CAN功能的STM32的简单使用例程。本视频的中的图片内容均来自B站爱上半导体博主的内容。 CAN高质量教学…

单片机学习笔记---AT24C02(I2C总线)

目录 有关储存器的介绍 存储器的简介 存储器简化模型 AT24C02介绍 AT24C02引脚及应用电路 I2C总线介绍 I2C电路规范 开漏输出模式和弱上拉模式 其中一个设备的内部结构 I2C通信是怎么实现的 I2C时序结构 起始条件和终止条件 发送一个字节 接收一个字节 发送应答…

STM32 与 ARM 谁比较强大?

STM32 和 ARM 是两个不同的概念&#xff0c;STM32 是一种微控制器产品&#xff0c;而 ARM 是一家处理器架构设计和许可的公司。因此&#xff0c;无法简单地比较它们的强大程度。 STM32 是基于 ARM Cortex-M 核的微控制器产品&#xff0c;具有高性能、低功耗、低成本和易于开发等…

蓝桥杯嵌入式第10届真题(完成) STM32G431

蓝桥杯嵌入式第10届真题(完成) STM32G431 题目 main.c /* USER CODE BEGIN Header */ /********************************************************************************* file : main.c* brief : Main program body********************************…

常见的单片机及其功能

在当今电子技术快速发展的时代&#xff0c;单片机作为核心组件&#xff0c;在各类电子项目和产品中扮演着至关重要的角色。它们的应用范围从简单的家用电器控制到复杂的工业自动化系统&#xff0c;几乎无处不在。接下来&#xff0c;我们将以轻松的语言&#xff0c;探讨几种广泛…

STM32CubeIDE中文版教程

STM32CubeIDE中文版教程 首先 https://mirrors.ustc.edu.cn/eclipse/technology/babel/update-site/ 点击此网址进入 如图&#xff0c;选择日期最新的&#xff0c;点进去 再次选择最新的日期 进入到此界面&#xff0c;复制此时的网址 https://mirrors.ustc.edu.cn/eclips…

STM32物联网(ESP-01S模块及STM32和ESP-01S通信方式介绍)

文章目录 前言一、ESP-01S模块介绍二、STM32和ESP-01S通信方式介绍三、什么是AT指令四、创建基础工程总结 前言 本篇文章我们开始正式进入STM32物联网的专栏&#xff0c;在这个专栏中将会带大家学习使用STM32进行联网&#xff0c;联网模块的话主要就是使用到了ESP-01S WIFI模块…

嵌入式面经-ARM体系架构-ARM体系结构理论基础

ARM指令集概述 指令 能够指示处理器执行某种运算的命令称为指令 指令在内存中以机器码&#xff08;二进制&#xff09;的方式存在 每一条指令都对应一条汇编 程序是指令的有序集合 指令集 处理器能识别的指令的集合称为指令集 不同架构的处理器指令集不同&#xff08;比如…

【STM32】STM32学习笔记-软件I2C读写MPU6050(33)

00. 目录 文章目录 00. 目录01. I2C简介02. MPU6050参数03. 软件I2C接线图04. I2C模拟应答时序示例05. I2C读取MPU6050程序示例06. 程序下载07. 附录 01. I2C简介 I2C(Inter&#xff0d;Integrated Circuit)总线是一种由NXP&#xff08;原PHILIPS&#xff09;公司开发的两线式…

【STM32 CubeMX】I2C中断方式与DMA方式

文章目录 前言一、I2C中断方式1.1 CubeMX配置I2C中断1.2 I2C中断函数使用Master模式Mem模式 1.3 DMA方式发送和接收CubeMX配置IIC DMA方式Master模式Mem模式 总结 前言 在STM32 CubeMX环境中&#xff0c;I2C&#xff08;Inter-Integrated Circuit&#xff09;通信协议的实现可…

STM32 寄存器操作 GPIO 与下降沿中断

一、如何使用stm32寄存器点灯&#xff1f; 1.1 寄存器映射表 寄存器本质就是一个开关&#xff0c;当我们把芯片寄存器配置指定的状态时即可使用芯片的硬件能力。 寄存器映射表则是开关的地址说明。对于我们希望点亮 GPIO_B 的一个灯来说&#xff0c;需要关注以下的两个寄存器…

devc++跑酷小游戏3.5.0

本来想搞存档的&#xff0c;失败了&#xff0c;要再学学文件操作的函数。还有一个打印地图的函数&#xff0c;更失败&#xff0c;彻底放弃。最近开学了&#xff0c;游戏不会经常更新&#xff0c;要写作业。昨天写到10点T_T #include<bits/stdc.h> #include<windows.h…

STM32-寄存器和HAL库以及如何使用

在电子工程领域&#xff0c;“寄存库”和“HAL库”都是与微控制器&#xff08;MCU&#xff09;编程紧密相关的概念。 寄存器&#xff08;Register&#xff09; 含义&#xff1a; 在电子工程领域&#xff0c;特别是计算机体系结构和微控制器设计中&#xff0c;寄存器是一种非常…

【STM32 CubeMX】I2C查询方式

文章目录 前言一、CubeMX配置IIC二、查询方式的使用2.1 分析一种情况2.2 Master模式2.3 Mem模式 总结 前言 在STM32 CubeMX环境中&#xff0c;I2C&#xff08;Inter-Integrated Circuit&#xff09;通信协议的查询方式是一种简单而常见的通信方式。通过查询方式&#xff0c;微…

【STM32 CubeMX】串口编程DMA+IDLE中断

文章目录 前言一、为什么要引入IDLE中断二、IDLE中断使用方式2.1 接收的三种情况2.2 函数的使用查询方式中断方式DMA方式分析一个问题 总结 前言 在嵌入式系统中&#xff0c;串口通信是一项关键的任务&#xff0c;而使用DMA&#xff08;直接内存访问&#xff09;结合IDLE中断进…

TIM编码器接口(编码器测速)

定时器编码器接口自动计次--------->对应手册14.3.12编码器接口模式 应用场景&#xff1a; 电机控制PWM驱动电机&#xff0c;编码器测电机速度&#xff0c;PID算法闭环控制 ------------------------------------------------------------------------------------------…

【STM32 CubeMX】I2C层次结构、I2C协议

文章目录 前言一、I2C的结构层次1.1 怎样在两个设备之间传输数据1.2 I2C如何传输数据1.3 硬件框图1.4 软件层次 二、IIC协议2.1 硬件连接2.2 I2C 总线的概念2.3 传输数据类比2.3 I2C信号2.4 I2C数据的含义 总结 前言 在STM32 CubeMX环境中&#xff0c;I2C&#xff08;Inter-In…

单片机移植Lua(STM32H743移植Lua-5.4.6)

文章目录 目的移植演示示例链接更多说明合理设置内存大小按需加载标准库实现系统和IO接口设置引用路径 总结 目的 通常单片机都是使用C/C来开发的&#xff0c;任何修改都需要重新编译固件然后下载运行。在一些需要灵活性更强的场合中可以内嵌Lua解释器实现动态更新应用程序的功…

STM32F1 - 中断系统

Interrupt 1> 硬件框图2> NVIC 中断管理3> EXTI 中断管理3.1> EXTI与NVIC3.2> EXTI内部框图 4> 外部中断实验4.1> 实验概述4.2> 程序设计 5> 中断向量表6> 总结 1> 硬件框图 NVIC&#xff1a;Nested Vectored Interrupt Controller【嵌套向量…

定时器外部时钟

一、相较于内部时钟中断改动&#xff1a; 1.Timer.c RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA, ENABLE); //开启GPIOA的时钟/*GPIO初始化*/GPIO_InitTypeDef GPIO_InitStructure;GPIO_InitStructure.GPIO_Mode GPIO_Mode_IPU;GPIO_InitStructure.GPIO_Pin GPIO_Pin_…

基于STM32的远程慢病监护数据网络设计

摘要 随着物联网技术的快速发展,远程医疗监护系统逐渐成为慢病管理的重要工具。本文设计了一种基于STM32的远程慢病监护数据网络系统,旨在实现慢性病患者生命体征的实时监测与数据传输,提高医疗监护的效率和质量。 关键词:STM32;远程医疗;慢病监护;数据传输 一、引言…

【STM32 物联网】AT指令的介绍

文章目录 前言一、什么是AT指令二、使用AT指令需要注意什么 三、AT指令的分类和提示信息3.1 AT指令的分类3.2 是否保存到Flash的含义3.3 提示信息 总结 前言 本系列STM32物联网使用的为esp8266-01S作为通信模块 在物联网&#xff08;IoT&#xff09;应用中&#xff0c;通信模…

嵌入式开发--Keil MDK仿真时System Viewer不显示寄存器选项

正常的调试界面如下图 故障现象 可我的工程如下图&#xff0c;在View下拉菜单中的System Viewer内&#xff0c;不显示寄存器选项 尝试的方法 如下图的箭头打勾或不打勾 还有下图在Debug界面的选项设置 最后发现是工程文件的参数有问题&#xff0c;就是.uvprojx后缀的工程…

【FreeRTOS基础入门】任务通知

文章目录 前言一、任务通知介绍1.1 任务通知怎么通信1.2 任务通知与其他通信方式的区别1.3 优势及限制任务通知的优势任务通知的限制 1.4 内部原理 二、任务通知的使用2.1 发出与接收通知简化版2.1 发出与接收通知专业版 总结 前言 FreeRTOS 提供了丰富而灵活的任务通知机制&a…

【stm32】hal库学习笔记-UART/USART串口通信(超详细!)

【stm32】hal库学习笔记-UART/USART串口通信 hal库驱动函数 CubeMX图形化配置 导入LCD.ioc RTC设置 时钟树配置 设置LSE为RTC时钟源 USART设置 中断设置 程序编写 编写主函数 /* USER CODE BEGIN 2 */lcd_init();lcd_show_str(10, 10, 16, "Demo12_1:USART1-CH340&q…

stm32——hal库学习笔记(IWDG)

这里写目录标题 一、IWDG简介&#xff08;了解&#xff09;二、IWDG工作原理&#xff08;熟悉&#xff09;![在这里插入图片描述](https://img-blog.csdnimg.cn/direct/ae55fb4f2d2f49edb468122f67de67e4.png)三、IWDG框图&#xff08;熟悉&#xff09;四、IWDG寄存器&#xff…

【STM32学习】——续上:软件SPI读写W25Q64SPI通信外设硬件SPI读写W25Q64

四、软件SPI读写W25Q64 工程思路与I2C类似&#xff0c;MySPI.c是通信底层&#xff0c;主要包括通信引脚封装、初始化、SPI通信的三个拼图&#xff08;起始、终止和交换一个字节&#xff09;&#xff1b;基于此文件建立W25Q64.c&#xff0c;调用MySPI三个拼图&#xff0c;拼接成…

【RT-Thread基础教程】Delay函数与空闲线程及其钩子函数

文章目录 前言一、Delay函数1.1 rt_thread_delay()函数1.2 rt_thread_mdelay()函数1.3 rt_hw_us_delay()函数1.4 rt_thread_delay_until函数1.5 获取当前tick数 二、空闲线程与钩子函数2.1 空闲线程2.2 钩子函数 总结 前言 在 RT-Thread 操作系统中&#xff0c;Delay 函数和空…

【STM32 物联网】AT指令与TCP,发送与接收数据

文章目录 前言一、连接TCP服务器1.1 配置Wifi模式1.2 连接路由器1.3 查询ESP8266设备IP地址1.4 连接TCP服务器 二、向服务器接收数据和发送数据2.1 发送数据2.2 接收数据 总结 前言 随着物联网&#xff08;IoT&#xff09;技术的迅速发展&#xff0c;越来越多的设备和系统开始…

STM32单片机基本原理与应用(十)

汉字显示实验 显示原理 字库文件的实际上包含了每个汉字的模。单片机应用经常会用到液晶显示或者LED点阵屏&#xff0c;是用点阵的方式显示的&#xff0c;要显示汉字或字符的时候会用到字模&#xff0c;字模就是字在点阵上显示时对应的编码。以字模的方式存储图形或者文字&am…

STM32CubeMX实战教程: TIM6、TIM7 - 基本定时器

目录 一、基本定时器的作用 二、常用型号的TIM时钟频率 三、CubeMX配置 四、编写执行代码 一、基本定时器的作用 基本定时器&#xff0c;主要用于实现定时和计数功能。作用包括&#xff1a; 定时功能&#xff1a;可以产生周期性的中断&#xff0c;用于实现定时任务。例如&…

STM32 | 零基础 STM32 第一天

零基础 STM32 第一天 一、认知STM32 1、STM32概念 STM32:意法半导体基于ARM公司的Cortex-M内核开发的32位的高性能、低功耗单片机。 ST:意法半导体 M:基于ARM公司的Cortex-M内核的高性能、低功耗单片机 32&#xff1a;32位单片机 2、STM32开发的产品 STM32开发的产品&a…

STM32F4 HAL库串口死锁问题调试记录

文章目录 STM32F4 HAL库串口死锁问题调试记录调试方法结果分析解决方法一&#xff1a;方法二&#xff1a;方法三&#xff1a; STM32F4 HAL库串口死锁问题调试记录 使用方法&#xff1a;通过串口DMA固定周期向外发送数据&#xff0c;同时开启串口DMA接收用于接收其它板卡发来的…

STM32FreeRTOS信号量(STM32cube高效开发)

一、信号量 &#xff08;一&#xff09;信号量概括 信号量是操作系统中重要的一部分&#xff0c;信号量是一种解决同步问题的机制&#xff0c;可以实现对共享资源的有序访问。 FreeRTOS 提供了多种信号量&#xff0c;按信号量的功能可分为二值信号量、计数型信号量、互斥信…

第十三届蓝桥杯嵌入式省赛程序设计详细题解

第十三届蓝桥杯嵌入式省赛题目相对于第十二届较为简单&#xff0c;没有那么多串口的数据处理以及判断&#xff01; 第十三届省赛主要是制作一个可由串口设置密码的密码锁。本实验中&#xff0c;我们将用到LED模块、按键模块、串口模块、定时器的PWM模块以及官方会提供源码的LC…

微信小程序开发系列(八)·微信小程序页面的划分以及轮播图区域的绘制和图片的添加

目录 1. 划分页面结构 2. 轮播图区域绘制 3. 轮播图图片添加 1. 划分页面结构 最终我们想达到如下效果&#xff1a; 其页面分为四层结构&#xff0c;因此我们需要配置四块view&#xff0c;代码如下&#xff1a; <!-- view 小程序提供的容器组件&#xff0c;可以当成…

STM32CubeMX学习笔记12 ---低功耗模式

在实际使用中很多产品都需要考虑低功耗的问题&#xff0c;STM32F10X提供了三种低功耗模式&#xff1a;睡眠模式&#xff08;Sleep mode&#xff09;、停机模式&#xff08;Stop mode&#xff09;和待机模式&#xff08;Standby mode&#xff09;。这些低功耗模式可以有效减少系…

使用STM32 TIM1 PWM模式驱动,步进电机

使用STM32 定时器PWM 模式输出固定脉冲&#xff0c;同样的频率下比普通定时器反转电平&#xff0c;少一半进中断的时间&#xff0c;更节省单片机时间 固定输出10个脉冲图片 代码部分 初始化设定 PwmNum 10; HAL_TIM_PWM_Start_IT(&htim1,TIM_CHANNEL_1);中断函数处理 /…

#define MODIFY_REG(REG, CLEARMASK, SETMASK)

#define MODIFY_REG(REG, CLEARMASK, SETMASK) WRITE_REG((REG), (((READ_REG(REG)) & (~(CLEARMASK))) | (SETMASK))) 这个宏 MODIFY_REG 是在嵌入式编程中&#xff0c;它用于修改一个寄存器的特定位&#xff0c;而不影响其他位。这个宏接受三个参数&#xff…

STM32CubeMX学习笔记14 ---SPI总线

1. 简介 1.1 SPI总线介绍 SPI 是英语Serial Peripheral interface的缩写&#xff0c;顾名思义就是串行外围设备接口。是Motorola(摩托罗拉)首先在其MC68HCXX系列处理器上定义的。 SPI&#xff0c;是一种高速的&#xff0c;全双工&#xff0c;同步的通信总线&#xff0c;并且在…

STM32(8)NVIC编程

中断源由部分片上外设产生 在misc.h中找&#xff0c;杂项 配置NVIC GPIO和AFIO不能产生中断源&#xff0c;但能通过EXTI&#xff0c;由EXTI产生中断源 NVIC不需要开启时钟&#xff0c;因为NVIC模块位于内核内部&#xff0c;芯片一上电就能工作。 中断响应函数 中断向量表在启…

江科大stm32学习笔记——【5-1】EXIT外部中断

1.中断系统 中断&#xff1a;在主程序运行过程中&#xff0c;出现了特定的中断触发条件&#xff08;中断源&#xff09;&#xff0c;使得CPU暂停当前正在运行的程序&#xff0c;转而去处理中断程序&#xff0c;处理完成后返回原来被暂停的位置继续运行。 外部中断&#xff1a;…

STM32自学☞AD多通道

涉及到的硬件有&#xff1a;光敏传感器&#xff0c;热敏传感器&#xff0c;红外对射传感器&#xff0c;电位器 通过adc将他们采集的模拟信号转换为数值 ad.c文件 #include "stm32f10x.h" #include "stm32f10x_adc.h" #include "ad.h" #inc…

STM32(7)NVIC

1. NVIC 1.1 NVIC简介 1.2 中断协作模型 1.3 NVIC的内部结构 1.4 中断向量表 1.4.2 在参考手册中查阅中断向量表

STM32 学习10 PWM输出

STM32 学习10 PWM输出 一、PWM简介1. PWM的概念2. PWM的工作原理3. PWM 常用的应用场景 二、一些概念1. 频率2. 占空比 三、STM32F1 PWM介绍1. 定时器与寄存器&#xff08;1&#xff09;**自动重装载寄存器&#xff08;ARR&#xff09;**&#xff1a;&#xff08;2&#xff09;…

在ubuntu上使用vscode+gcc-arm-none-eabi+openocd工具开发STM32

文章目录 所需工具安装调试搭建过程中遇到的问题 写在前面 老大上周让我用vscode开发STM32&#xff0c;我爽快的答应了&#xff0c;心想大学四年装了这么多环境了这不简简单单&#xff0c;更何况vscode这两年还用过&#xff0c;然而现实总是令人不快的——我竟然花了差不多两周…

STM32FreeRTOS-事件组1(STM32Cube高效开发教程)

文章目录 一、事件组的原理和功能1、事件组与队列信号量特点2、事件组存储结构3、事件组运行原理 二、事件组部分函数1、xEventGroupCreate()创建事件组函数2、xEventGroupSetBits&#xff08;&#xff09;事件组置位函数3、xEventGroupSetBitsFromISR&#xff08;&#xff09;…

STM32---通用定时器(一)理论基础

写在前面&#xff1a;在STM32F103中有众多的定时器&#xff0c;其中包括两个基本定时器&#xff0c;基本定时器的内容已经在上节进行了介绍&#xff0c;基本定时器的功能、结构、使用都较为简单。而STM32F1中还含有4个通用定时器&#xff08;TIM2\3\4\5&#xff09;,这些定时器…

bacnet cov机制详细介绍

bacnet cov机制详细介绍,相关的对象有哪些 COV介绍COV机制如何配置相关参数?如何确定COV通知的发送间隔?BACnet AI模拟量输入COV检测COV机制的特点和适用性COV介绍 BACnet(Building Automation and Control Networks)的COV(Change of Value)机制是一种用于监测和传输对…

STM32第十课拓展:串口发送各种信息

在我们上一节课的讲解当中&#xff0c;我们主要完成了初始化USART串口&#xff0c;实现了通过STM32单片机发送一个简单的数字0x41发送到电脑上。但是我们要是想发送其他种类的数字呢&#xff1f;就比如说数组&#xff1f;字符串&#xff1f;那么就来到我们本小节所讲的知识点了…

STM32CubeMX学习笔记15---CAN总线

1、CAN简介 CAN总线网络的结构有闭环和开环两种形式 闭环结构的CAN总线网络&#xff0c;总线两端各连接一个1202的电阻。这种CAN总线网络由ISO11898标准定义&#xff0c;是高速、短距离的CAN网络&#xff0c;通信速率为125kbit/s到1Mbit/s。在1Mbit/s通信速率时&#x…

STM32---ADC

ADC 概念 众所周知&#xff0c;GPIO只能读入高电平或者低电平&#xff0c;那如果现有一个模拟量&#xff0c;该如何读取呢&#xff0c;比如电压的范围是0~3.3v&#xff0c;如何获取电压的值。就需要ADC&#xff08;Analog-Digital Converter&#xff09;了。ADC可以将引脚上连…

03:HAL---中断

目录 一:中断 1:简历 2:AFIO 3:EXTI 4:NVIC基本结构 5:使用步骤 6:设计中断函数 二:中断的应用 A:对外式红外传感计数器 1:硬件介绍 2:计数代码 B:旋转编码计数器 1:硬件介绍 2:旋转编码器代码 C:按键控制LED D:代码总结 一:中断 1:简历 中断&#xff1a;在主程序…

ubuntu下vscode+STM32CubeMX+openocd+stlinkv2搭建STM32开发调试下载环境

1、换源 清华源 # 默认注释了源码镜像以提高 apt update 速度&#xff0c;如有需要可自行取消注释 deb https://mirrors.tuna.tsinghua.edu.cn/ubuntu/ jammy main restricted universe multiverse # deb-src https://mirrors.tuna.tsinghua.edu.cn/ubuntu/ jammy main restr…

STM32利用标准库编写同时输出4路PWM信号的程序(Proteus)仿真

先看看结果&#xff1a; 这个是根据上午发的文章的基础上更改的&#xff0c;很简单&#xff0c;只是用了一个定时器&#xff0c;初始化了4个比较器而已&#xff0c;就可以单独的控制每一路PWM的占空比了&#xff0c;好了&#xff0c;把源文件展示一下&#xff0c;完事去接孩子放…

FreeRTOS学习笔记-基于stm32(5)列表和列表项

一、列表与列表项简介 列表是FreeRTOS中的一种数据结构&#xff0c;类似双向循环链表。用来跟踪FreeRTOS中的任务。列表项就是存放在列表中的项目。 二、列表 列表结构体&#xff1a; typedef struct xLIST {listFIRST_LIST_INTEGRITY_CHECK_VALUE //校验值c…

STM32外设分类--学习笔记

简介: 本文在于根据自己的理解&#xff0c;将stm32f103外设按照功能分个类别&#xff0c;便于记忆。下面的几张图一定要熟悉&#xff0c;后期编写代码时能够快速找到想要的功能和对应的引脚。 我使用的工具链是&#xff1a;使用CubeMX完成keil5工程搭建和引脚初始化功能,然后用…

使用STM32+ESP8266(ESP-01S)+点灯科技(手机端Blinker)实现远程控制智能家居

硬件准备&#xff1a;STM32单片机、ESP8266&#xff08;ESP-01S&#xff09;、CH340C下载烧录器 软件准备&#xff1a;STM32CubeMX、Keil uVision5、Arduino IDE、 点灯科技&#xff08;手机端APP Blinker&#xff09;点灯科技 (diandeng.tech)点击进入 值得注意的是&#x…

STM32/GD32——CAN协议

说明&#xff1a;本文不断更新中&#xff0c;内容均为作者手打... 芯片选型 Ciga Device — GD32F470系列 CAN协议规则 CAN帧种类介绍 CAN总线以“帧”的方式进行通讯。CAN协议定义了5种类型的帧&#xff1a;数据帧、遥控帧、错误帧、过载帧、间隔帧。其中“数据帧”最为常…

STM32单片机实现串口通信

串口通信 1.通信方式 处理器和外围设备进行通信的方式&#xff1a;并行通信和串行通信 并行通信&#xff1a; 传输原理&#xff1a;数据的每一个位都在同时传输 优点&#xff1a; 速度快 缺点&#xff1a; 占用很多引脚资源 串行通信&#xff1a; 传输原理…

STM32初识1

什么是单片机&#xff1f; 单片机&#xff08; Single-Chip Microcomputer &#xff09;是一种集成电路芯片&#xff0c;把具有数据处理能力的中央处 理器 CPU 、随机存储器 RAM 、只读存储器 ROM 、多种 I/O 口和中断系统、定时器 / 计数器等功 能&#xff08;可能还包括显示…

stm32-编码器测速

一、编码器简介 编码电机 旋转编码器 A,B相分别接通道一和二的引脚&#xff0c;VCC&#xff0c;GND接单片机VCC&#xff0c;GND 二、正交编码器工作原理 以前的代码是通过触发外部中断&#xff0c;然后在中断函数里手动进行计次。使用编码器接口的好处就是节约软件资源。对于频…

【OTA】STM32-OTA升级——持续更新

【OTA】STM32-OTA升级——持续更新 文章目录 前言一、ymodem串口协议1、Ymodem 协议2、PC3、蓝牙4、WIFI云平台 二、UDS车载协议1.UDS协议 总结 前言 提示&#xff1a;以下是本篇文章正文内容&#xff0c;下面案例可供参考 一、ymodem串口协议 1、Ymodem 协议 STM32 Ymodem …

嵌入式实用网站

参考资料篇 ✔ 正点原子官网&#xff1a; 正点原子资料下载中心 — 正点原子资料下载中心 1.0.0 文档 ✔ LVGL百问网 &#x1f3a8;百问网LVGL中文教程手册文档 — 百问网LVGL中文教程手册文档 1.0 文档 ✔ Freertos开发文档 FreeRTOS - Quick start guide ✔ Linux命令大全 Li…

江协科技STM32:TIM输出比较

输出比较模块的主要功能&#xff1a;输出一定频率和占空比的PWM波形 CC是捕获比较的意思,R是Register&#xff0c;寄存器的意思&#xff0c;CCR捕获比较寄存器它是输入捕获和输出比较共用的 当使用输入捕获&#xff0c;它就是捕获寄存器 当使用输出比较&#xff0c;它就是比…

探索STM32常用存储器:解锁单片机的记忆力

前言 当涉及单片机编程时&#xff0c;了解和熟练操作存储器是至关重要的。在STM32微控制器中&#xff0c;常用的存储器包括Flash存储器、SRAM和EEPROM。本文将深入探讨这些存储器的特点、用途以及如何在STM32中使用它们。 Flash存储器 Flash存储器是STM32微控制器中最常用的…

STM32CubeMX+MDK通过I2S接口进行音频输入输出(全双工读写一个DMA回调)

一、前言 目前有一个关于通过STM32F411CEUx的I2S总线接口控制SSS1700芯片进行音频输入输出的研究。 SSS1700 是具有片上振荡器的 3S 高度集成的USB音频控制器芯片 。 SSS1700 功能支持96 KHz 24 位采样率&#xff0c;带外部音频编解码器&#xff08;24 位/96KHz I2S 输入和输出…

HNUST 嵌入式STM32开发板学号显示-2024

1.题目要求 在数码管上实现滚动显示学号 2.参考代码(中断方法) /******************************学号显示************************* 学号显示***********************************************************************/#include "sys.h" #include "delay.h&q…

【嵌入式开发 Linux 常用命令系列 5 -- minicom 介绍】

文章目录 MINICOM Usage常用命令及其介绍使用举例 MINICOM Usage Minicom 是一个类似于 Windows 下的 HyperTerminal 的通信程序&#xff0c;主要用于通过串口与其他设备进行交云。在 Linux 系统中&#xff0c;Minicom 是串口通信和调试的重要工具&#xff0c;尤其在嵌入式开发…

【STM32篇】DRV8425驱动步进电机

【STM32篇】4988驱动步进电机_hr4988-CSDN博客 在上篇文章中使用了HR4988实现了步进电机的驱动&#xff0c;在实际运用过程&#xff0c;HR4988或者A4988驱动步进电机会存在电机噪音太大的现象。本次将向各位友友介绍一个驱动简单且非常静音的一款步进电机驱动IC。 1.DRV8425简介…

配置vscode用于STM32编译,Debug,github上传拉取

配置环境参考&#xff1a; Docs 用cubemx配置工程文件&#xff0c;用VScode打开工程文件。 编译的时候会有如下报错&#xff1a; vscode出现process_begin :CreateProcess failed 系统找不到指定文件 解决方案&#xff1a;在你的makefile中加上SHELLcmd.exe就可以了 参考…

stm32开发之threadx+netxduo(结合 modbus 编写tcp接口程序)

前言 本篇结合freemodbus源码程序进行移植,驱动实现的接口为modbus tcp需要知道threadx的 事件标志组、信号量、线程相关的知识需要知道netxduo tcp方面的api和创建流程方面的知识 freemodbus程序源码 本次使用的源码来自于rt-thread软件包里面的&#xff0c;可以参考之前的…

Linux第87步_阻塞IO实验

阻塞IO是“应用程序”对“驱动设备”进行操作&#xff0c;若不能获取到设备资源&#xff0c;则阻塞IO应用程序的线程会被“挂起”&#xff0c;直到获取到设备资源为止。 “挂起”就是让线程进入休眠&#xff0c;将CPU的资源让出来。线程进入休眠后&#xff0c;当设备文件可以操…

HDC2010+STM32读取数据发送到onenet平台

第一次用HDC2010用stm32l051单片机读取数据看了2天的datasheet都没看明白&#xff0c;好在在老板的帮助下里面的数据读取出来。之后的工作一个人好在顺利完成。以下记录一下写的代码 /* USER CODE BEGIN Header */ /********************************************************…

常见的实时操作系统(RTOS)(嵌入式和物联网操作系统)介绍

在嵌入式系统和物联网&#xff08;IoT&#xff09;设备中&#xff0c;实时操作系统&#xff08;RTOS&#xff09;是至关重要的&#xff0c;因为它们负责管理有限的硬件资源&#xff0c;并提供确保任务在特定时间内完成的机制。开源实时操作系统&#xff08;RTOS&#xff09;允许…

微信小程序开发系列(三十四)·自定义组件的创建、注册以及使用(数据和方法事件的使用)

目录 1. 分类和简介 2. 公共组件 2.1 创建 2.2 注册 2.3 使用 3. 页面组件 3.1 创建 3.2 注册 3.3 使用 4. 组件的数据和方法的使用 4.1 组件数据的修改 4.2 方法事件的使用 1. 分类和简介 小程序目前已经支持组件化开发&#xff0c;可以将页面中的功能…

(二)移植FreeRTOS到STM32中

一、概念 &#xff08;1&#xff09;任务&#xff08;线程&#xff09;&#xff1a;根据功能的不同&#xff0c;将一个系统分割成一个个独立且无法返回的函数&#xff0c;这个函数就被称为任务 &#xff08;2&#xff09;任务栈&#xff1a;静态创建的任务保存在栈中 &#xf…

STM32平替GD32有多方便

众所周知, GD32一直模仿STM32,从未被超越。 我最近公司使用的GD32E230C6T6 这款芯片有48个引脚。 属于小容量的芯片。 我有一个用STM32写的代码,之前是用的 STM32F103CB 这款芯片是中容量的。 不过在keil中,只需要这两步,就能使用原来的逻辑,几乎不用修改代码。 1. …

STM32驱动LCD1602A,8线

LCD1602A.H&#xff0c;参考江协科技 51驱动LCD1602。 #ifndef __LCD1602A_H__ #define __LCD1602A_H__ //引脚配置&#xff1a; #define LCD_RS_PIN GPIO_Pin_11 #define LCD_RW_PIN GPIO_Pin_10 #define LCD_EN_PIN GPIO_Pin_1 #define LCD_CrtlPort GPIOB #define LCD_D0_P…

【STM32嵌入式系统设计与开发】——6矩阵按键应用(4x4)

这里写目录标题 一、任务描述二、任务实施1、SingleKey工程文件夹创建2、函数编辑&#xff08;1&#xff09;主函数编辑&#xff08;2&#xff09;LED IO初始化函数(LED_Init())&#xff08;3&#xff09;开发板矩阵键盘IO初始化&#xff08;ExpKeyBordInit()&#xff09;&…

实际使用的一个硬件看门狗方案

硬件狗&#xff1a; Pin No. Symbol Description 1 RESETB 看门狗定时器和电压检测器复位信号输出引脚,(在检测检测器阈值和看门狗定时器复位时输出“L”) 2 MR 手动复位管脚 (拉低复位&#xff0c;Active"L") 3 CD 设置电压检测延迟时间的外部电容 …

【MicropythonESP32】urequests快速入门

提示&#xff1a;文章写完后&#xff0c;目录可以自动生成&#xff0c;如何生成可参考右边的帮助文档 文章目录 前言一、安装urequests到Micropython设备二、相关函数使用2.1 发送网络请求2.2 发送一个 HEAD 请求2.3 发送一个 GET 请求2.4 发送一个 POST 请求2.4 发送一个 PUT …

记录开发STM32遇到的卡死问题-串口

背景&#xff1a;以STM32作为主控&#xff0c;广州大彩显示屏显示&#xff0c;主控实时采集数据&#xff0c;串口波特率115200.设置收发频率为50Hz&#xff0c;即单片机每秒发送50帧数据&#xff0c;每秒接收50帧数据&#xff0c;每帧数据大概14字节。 问题&#xff1a;系统长…

【STM32嵌入式系统设计与开发】——7有源蜂鸣器应用

这里写目录标题 一、任务描述二、任务实施1、ActiveBeep工程文件夹创建2、函数编辑&#xff08;1&#xff09;主函数编辑&#xff08;2&#xff09;LED IO初始化函数(LED_Init())&#xff08;3&#xff09;蜂鸣器 IO初始化&#xff08;BeepInit()&#xff09; 3、宏定义&#x…

【毕设级项目】基于ESP8266的家庭灯光与火情智能监测系统——文末源码及PPT

目录 系统介绍 硬件配置 硬件连接图 系统分析与总体设计 系统硬件设计 ESP8266 WIFI开发板 人体红外传感器模块 光敏电阻传感器模块 火焰传感器模块 可燃气体传感器模块 温湿度传感器模块 OLED显示屏模块 系统软件设计 温湿度检测模块 报警模块 OLED显示模块 …

串口111

1.开启时钟 把需要使用的USART和GPIO的时钟打开 2.GPIO初始化 把TX配置成复用输出&#xff0c;RX配置成输入 3.配置USART 直接使用一个结构体即可将所有参数配置完成 4.开关控制 如果需要仅发送的功能&#xff0c;就直接开启USART&#xff0c;初始化到此结束 如果还需要接收…

FreeRTOS教程10 低功耗

目录 1、准备材料 2、学习目标 3、前提知识 3.1、HAL 库基础时钟 3.1.1、使用 SysTick 定时器 3.1.1.1、工作原理 3.1.1.2、中断处理 3.1.2、使用其他定时器 3.1.2.1、工作原理 3.1.2.2、中断处理 3.2、FreeRTOS 基础时钟 3.2.1、工作原理 3.2.2、中断处理 3.3、…

C语言经典面试题目(二十二)

1、解释一下C语言中的预处理器指令及其作用。 预处理器指令是在编译之前由预处理器处理的指令&#xff0c;用于对源代码进行预处理。预处理器指令以#符号开头。常见的预处理器指令包括&#xff1a; #include&#xff1a;用于包含头文件&#xff0c;将指定的文件内容插入到当前…

STM32 CAN的工作模式

STM32 CAN的工作模式 正常模式 正常模式下就是一个正常的CAN节点&#xff0c;可以向总线发送数据和接收数据。 静默模式 静默模式下&#xff0c;它自己的输出端的逻辑0数据会直接传输到它自己的输入端&#xff0c;逻辑1可以被发送到总线&#xff0c;所以它不能向总线发送显性…

STM32---DHT11温湿度传感器与BH1750FVI光照传感器(HAL库、含源码)

写在前面&#xff1a;本节我们学习使用两个常见的传感器模块&#xff0c;分别为DHT11温湿度传感器以及BH1750FVI光照传感器,这两种传感器在对于环境监测中具有十分重要的作用&#xff0c;因为其使用简单方便&#xff0c;所以经常被用于STM32的项目之中。今天将使用分享给大家&a…

stm32使用定时器实现PWM与呼吸灯

PWM介绍 STM32F103C8T6 PWM 资源&#xff1a; 高级定时器&#xff08; TIM1 &#xff09;&#xff1a; 7 路 通用定时器&#xff08; TIM2~TIM4 &#xff09;&#xff1a;各 4 路 例如定时器2 PWM 输出模式&#xff1a; PWM 模式 1 &#xff1a;在 向上计数 时&#xff0…

红外遥控器的使用和详细解释

infrared.c #include "infrared.h"/* 红外 --- PA8*/void Infrared_Init(void) {GPIO_InitTypeDef GPIO_InitStruct; EXTI_InitTypeDef EXTI_InitStruct;NVIC_InitTypeDef NVIC_InitStruct;//使能SYSCFG时钟RCC_APB2PeriphClockCmd(RCC_APB2Periph_SYSCFG, E…

5个适用于 Windows/PC 的水印去除软件(视频/图像)

水印是文本、徽标、印记、图像或签名&#xff0c;通常叠加在视频、其他图像或具有较高透明度的 PDF 文档上。当您免费使用某些产品&#xff08;例如视频编辑器&#xff09;时&#xff0c;最终输出通常带有代表您使用的编辑器的水印。您可能需要出于您的目的从此类媒体文件中删除…

PWM实现电机的正反转和调速以及TIM定时器

pwm.c #include "pwm.h"/* PWM --- PA2 --TIM2_CH3 //将电机信号控制一根接GND,一根接在PA2(TIM2_CH3)&#xff0c; 输出PWM控制电机快慢 TIM2挂在APB1 定时器频率&#xff1a;84MHZ*/ void Pwm_Init(void) {GPIO_InitTypeDef GPIO_InitStruct;TIM_TimeBaseInitT…

【ARM】MDK如何控制不同类型的警告生成

【更多软件使用问题请点击亿道电子官方网站】 1、 文档目标 了解MDK中不同的警告选项的不同&#xff0c;可以根据不同的情况去设置警告诊断的生成 2、 问题场景 在项目工程编译的过程中&#xff0c;会发现有很多警告会生成&#xff0c;但是这些警告实际上对于功能的实现并没有…

蓝桥杯STM32 G431 hal库开发速成——输入捕获

蓝桥杯的输入捕获较为简单&#xff0c;基本不涉及溢出的问题。所以这里就不介绍溢出了。文末有源码。 核心思想&#xff1a;在第一次上升沿的时候计第一个数&#xff0c;第一次下降沿的时候计第二个数&#xff0c;第二次上升沿的时候计第三个数。 占空比 (第二个数-第一个数…

基于STM32微控制器的智能快递箱设计

标题&#xff1a;基于STM32微控制器的智能快递箱设计 摘要&#xff1a; 随着电子商务的快速发展&#xff0c;快递业务日益繁荣&#xff0c;智能快递箱作为解决“最后一公里”配送难题的有效手段&#xff0c;受到了广泛关注。本文设计了一种基于STM32微控制器的智能快递箱系统&…

FreeRTOS(三)

第二部分 事件组 一、事件组的简介 1、事件 事件是一种实现任务间通信的机制&#xff0c;主要用于实现多任务间的同步&#xff0c;但事件通信只能是事件类型的通信&#xff0c;无数据传输。其实事件组的本质就是一个整数(16/32位)。可以是一个事件发生唤醒一个任务&#xff…

搭建 Apple Mac M1 stm32 开发环境

近期想学习 stm32 开发,看了些书和视频,买了开发板。开发板到了后就迫不及待的的进行尝试。由于我目前使用的电脑是 Apple M1 Pro,目前用的比较多的是 windows + keil。我先是在 mac 使用虚拟机,安装 win 环境来使用,但是我分别使用了 VMware 和 parallels desktop ,keil…

MAC使用Clion开发STM32

安装软件 CLion &#xff1a;略 STM32CubeMX&#xff1a;略 brew &#xff1a; /bin/zsh -c "$(curl -fsSL https://gitee.com/cunkai/HomebrewCN/raw/master/Homebrew.sh)"openocd &#xff1a; brew install open-ocd # 以下命令有输出说明安装成功 openocd -…

【物联网项目】基于ESP8266的家庭灯光与火情智能监测系统——文末完整工程资料源码

目录 系统介绍 硬件配置 硬件连接图 系统分析与总体设计 系统硬件设计 ESP8266 WIFI开发板 人体红外传感器模块 光敏电阻传感器模块 火焰传感器模块 可燃气体传感器模块 温湿度传感器模块 OLED显示屏模块 系统软件设计 温湿度检测模块 报警模块 OLED显示模块 …

STM32学习笔记(6_7)- TIM定时器的编码器接口原理

无人问津也好&#xff0c;技不如人也罢&#xff0c;都应静下心来&#xff0c;去做该做的事。 最近在学STM32&#xff0c;所以也开贴记录一下主要内容&#xff0c;省的过目即忘。视频教程为江科大&#xff08;改名江协科技&#xff09;&#xff0c;网站jiangxiekeji.com 现在开…

STM32 字符数组结束符 “\0”

STM32 字符数组结束符 “\0” 使用字符数组使用printf&#xff0c;string参考 使用字符数组 使用STM32的串口发送数据&#xff0c;核心代码如下&#xff1a; char str[] "hello world!\n\r";while(1) {HAL_UART_Transmit(&huart2, str, sizeof (str), 10);HAL…

江协科技STM32:按键控制LED光敏传感器控制蜂鸣器

按键控制LED LED模块 左上角PA0用上拉输入模式&#xff0c;如果此时引脚悬空&#xff0c;PA0就是高电平&#xff0c;这种方式下&#xff0c;按下按键&#xff0c;引脚为低电平&#xff0c;松下按键&#xff0c;引脚为高电平 右上角PA0&#xff0c;把上拉电阻想象成弹簧 当按键…

在Windows上交叉编译STM32(环境搭建)

在Windows上交叉编译STM32 Keil 虽然好用&#xff0c;但是是收费的&#xff0c;不想破解怎么办~ 使用交叉编译工具&#xff01; 交叉编译工具下载 官方交叉编译工具下载连接 下载解压好后将 bin 目录写入 PATH&#xff0c; 使用命令行检测是否安装成功。 Windows 安装 make …

#Cubemx配置FSMC驱动IL9341

一、IDE&#xff1a;keil、cubemx、Arduino......... 二、设备&#xff1a;stm32f103zet6 三、记录&#xff1a; &#xff08;1&#xff09;原理图 &#xff08;2&#xff09;配置cubemx a.swd、rcc、时钟72M b.配置FSMC c.配置背光&#xff0c;复位 这里由于我的屏幕复位与…

物联网实战--入门篇之(五)嵌入式-IIC驱动(SHT30温湿度)

目录 一、IIC简介 二、IIC驱动解析 三、SHT30驱动 四、总结 一、IIC简介 不管是IIC还是串口&#xff0c;亦或SPI&#xff0c;它们的本质区别在于有各自的规则&#xff0c;就是时序图&#xff1b;它们的相同点就是只要你理解了时序图&#xff0c;你就可以用最普通的IO引脚模…

基于FreeRTOS系统的STM32简易遥控器设计

项目说明 该项目是一个基于FreeRTOS系统的Stm32遥控器设计。使用该项目主要是自己学习FreeRTOS的使用&#xff0c;以及模块化编程的思想。这个项目应该长期会有更新。 项目开源 github:https://github.com/snqx-lqh/Stm32RemoteControl gitee:https://gitee.com/snqx-lqh/S…

使用STM32的HAL库驱动铭正同创的MzLH01-12864液晶屏

1、屏幕信息 2、STM32CUBEMX配置 根据情况使用引脚&#xff0c;可仅使用RST,SDA,CLK三个&#xff0c;如果要用屏幕低功耗&#xff0c;则用SS引脚&#xff0c;否则SS引脚直接接地&#xff0c;BUSY不考虑。背光根据自己的情况设置。 3、头文件 #ifndef MZLH01_12684__H #define…

stm32之基本定时器的使用

在上文我们使用到了HAL库的自带的延时函数&#xff0c;HAL_Delay&#xff08;&#xff09;&#xff1b;我们来看一下函数的原型 __weak void HAL_Delay(uint32_t Delay) {uint32_t tickstart HAL_GetTick();uint32_t wait Delay;/* Add a freq to guarantee minimum wait */…

【STM32嵌入式系统设计与开发】——14PWM(pwm脉宽输入应用)

这里写目录标题 一、任务描述二、任务实施1、WWDG工程文件夹创建2、函数编辑&#xff08;1&#xff09;主函数编辑&#xff08;2&#xff09;USART1初始化函数(usart1_init())&#xff08;3&#xff09;USART数据发送函数&#xff08; USART1_Send_Data&#xff08;&#xff09…

物联网实战--入门篇之(八)嵌入式-空气净化器

目录 一、风扇调速 二、通讯协议 三、净化器运行逻辑 一、风扇调速 单片机是不能直接驱动电机的&#xff0c;因为主芯片的驱动电流比较小(50mA左右)&#xff0c;他们之间正常还要有个电机驱动器&#xff0c;常用的有TB6612、L298和L9110等&#xff0c;目前项目用的这个电机它…

STM32 中断流程介绍

STM32可以产生中断的事件多种多样&#xff0c;比如&#xff1a;定时器时间结束、串口接收到数据、某个GPIO检测到电平变化等等等等。 1、STM32 gpio 中断处理流程介绍 1、从引脚进入的高低电平首先由输入驱动器处理&#xff0c;如下图 2、经过输入驱动器处理后的信号会进…

STM32 库函数 3*4矩阵键盘

1、矩阵按键扫描原理&#xff1a; 先是把列置0&#xff08;推挽输出&#xff09;&#xff0c;行是输入上拉&#xff0c;扫描行得到行的键值&#xff1b;再是把行置0&#xff08;推完输出&#xff09;&#xff0c;列是输入上拉&#xff0c;扫描列得到列的键值&#xff1b;最后把…

物联网实战--入门篇之(三)嵌入式STM32

目录 一、Keil简介 二、工程结构 三、文件目录 四、STM32简介 五、编码风格 六、总结 一、Keil简介 Keil是一款常用的单片机开发工具&#xff0c;主要包含了编译、仿真、调试和开发界面(IDE)&#xff0c;后被ARM公司收购&#xff0c;与其MDK-ARM合并为MDK-ARM Keil软件包…

标准库不带操作系统移植FreeModbus到STM32

添加FreeModbus代码 首先准备一个空白的标准库项目。 下载FreeModbus源码。 将源码中的modbus文件夹复制到项目路径下&#xff0c;并把demo->BARE->port文件夹的内容也添加进来。 新建一个文件port.c备用。然后打开项目&#xff0c;将上述文件添加至项目&#xff0c;…

stm32再实现感应开关盖垃圾桶

一、项目需求 检测靠近时&#xff0c;垃圾桶自动开盖并伴随滴一声&#xff0c;2秒后关盖 发生震动时&#xff0c;垃圾桶自动开盖并伴随滴一声&#xff0c;2秒后关盖 按下按键时&#xff0c;垃圾桶自动开盖并伴随滴一声&#xff0c;2秒后关盖 硬件清单 SG90 舵机&#xff0c;…

STM32 M3内核寄存器概念

内容主要来自<<M3内核权威指南>> 汇编程序中的最低有效位&#xff08;Least Significant Bit&#xff09;。LSB是二进制数中最右边的位&#xff0c;它代表了数值中的最小单位。在汇编程序中&#xff0c;LSB通常用于表示数据的最小精度或者作为标志位。 ---------…

STM32CubeMX配置步骤详解一 —— 下载(1)

接前一篇文章&#xff1a;STM32CubeMX配置步骤详解零 —— 引言 本文内容主要参考&#xff1a; STM32CUBEMX配置教程&#xff08;一&#xff09;基础配置-CSDN博客 特此致谢&#xff01; 一、STM32CubeMX下载 1. 官网直接下载 可以从ST官网下载STM32CubeMX&#xff0c;下载…

七、基于FreeRTOSSTM32移植MQTT

1、移植环境 (1)Keil MDK: V5.38.0.0 (2)STM32CubeMX: V6.8.1 (3)MCU: STM32F407ZGT6 (4)已移植好FreeRTOS和调试好串口的项目。 FreeRTOS移植参考博客&#xff1a;示例1&#xff1a;FreeRTOS移植详解_基于HAL库工程_hal库移植rtos-CSDN博客mqttclient源码&#xff1a;htt…